OpenCores
URL https://opencores.org/ocsvn/iso7816_3_master/iso7816_3_master/trunk

Subversion Repositories iso7816_3_master

[/] [iso7816_3_master/] [trunk/] [test/] [tbIso7816_3_Master.v] - Diff between revs 9 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 1... Line 1...
`timescale 1ns / 1ps
`timescale 1ns / 1ps
`default_nettype none
`default_nettype none
////////////////////////////////////////////////////////////////////////////////
////////////////////////////////////////////////////////////////////////////////
// Company: 
// Company: 
// Engineer:
// Engineer: Sebastien Riou
//
//
// Create Date:   22:16:42 01/10/2011
// Create Date:   22:16:42 01/10/2011
// Design Name:   Iso7816_3_Master
// Design Name:   Iso7816_3_Master
// Module Name:   tbIso7816_3_Master.v
// Module Name:   tbIso7816_3_Master.v
// Project Name:  Uart
// Project Name:  Uart
Line 47... Line 47...
        wire atrIsLate;
        wire atrIsLate;
        wire isoClk;
        wire isoClk;
        wire isoReset;
        wire isoReset;
        wire isoVdd;
        wire isoVdd;
 
 
 
        //probe outputs
 
        wire probe_termMon;
 
        wire probe_cardMon;
 
 
        // Bidirs
        // Bidirs
        wire isoSio;
        wire isoSioTerm;
 
        wire isoSioCard;
 
 
wire COM_statusOut=statusOut;
wire COM_statusOut=statusOut;
wire COM_clk=isoClk;
wire COM_clk=isoClk;
integer COM_errorCnt;
integer COM_errorCnt;
 
 
Line 95... Line 100...
                .useIndirectConvention(useIndirectConvention),
                .useIndirectConvention(useIndirectConvention),
                .tsError(tsError),
                .tsError(tsError),
                .tsReceived(tsReceived),
                .tsReceived(tsReceived),
                .atrIsEarly(atrIsEarly),
                .atrIsEarly(atrIsEarly),
                .atrIsLate(atrIsLate),
                .atrIsLate(atrIsLate),
                .isoSio(isoSio),
                .isoSio(isoSioTerm),
                .isoClk(isoClk),
                .isoClk(isoClk),
                .isoReset(isoReset),
                .isoReset(isoReset),
                .isoVdd(isoVdd)
                .isoVdd(isoVdd)
        );
        );
 
 
        DummyCard card(
        DummyCard card(
                .isoReset(isoReset),
                .isoReset(isoReset),
                .isoClk(isoClk),
                .isoClk(isoClk),
                .isoVdd(isoVdd),
                .isoVdd(isoVdd),
                .isoSio(isoSio)
                .isoSio(isoSioCard)
 
        );
 
 
 
        Iso7816_directionProbe probe(
 
                .isoSioTerm(isoSioTerm),
 
                .isoSioCard(isoSioCard),
 
                .termMon(probe_termMon),
 
                .cardMon(probe_cardMon)
        );
        );
 
 
        Iso7816_3_t0_analyzer spy (
        Iso7816_3_t0_analyzer spy (
    .nReset(nReset),
    .nReset(nReset),
    .clk(clk),
    .clk(clk),
    .clkPerCycle(clkPerCycle[0]),
    .clkPerCycle(clkPerCycle[0]),
    .isoReset(isoReset),
    .isoReset(isoReset),
    .isoClk(isoClk),
    .isoClk(isoClk),
    .isoVdd(isoVdd),
    .isoVdd(isoVdd),
    .isoSio(isoSio),
    .isoSioTerm(probe_termMon),
 
    .isoSioCard(probe_cardMon),
 
         .useDirectionProbe(1'b1),
    .fiCode(spy_fiCode),
    .fiCode(spy_fiCode),
    .diCode(spy_diCode),
    .diCode(spy_diCode),
    .fi(spy_fi),
    .fi(spy_fi),
    .di(spy_di),
    .di(spy_di),
    .cyclesPerEtu(spy_cyclesPerEtu),
    .cyclesPerEtu(spy_cyclesPerEtu),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.