OpenCores
URL https://opencores.org/ocsvn/leros/leros/trunk

Subversion Repositories leros

[/] [leros/] [trunk/] [Makefile] - Diff between revs 7 and 8

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 7 Rev 8
Line 42... Line 42...
QPROJ=dspio
QPROJ=dspio
 
 
# Some shortcuts
# Some shortcuts
MUVIUM=LerosMuviumSDK
MUVIUM=LerosMuviumSDK
MUVIUM_CP=./$(S)./lib/Muvium-Leros.jar$(S)./MUVIUM_CP=./$(S)./lib/jdom.jar$(S)./lib/jaxen.jar$(S).
MUVIUM_CP=./$(S)./lib/Muvium-Leros.jar$(S)./MUVIUM_CP=./$(S)./lib/jdom.jar$(S)./lib/jaxen.jar$(S).
 
# We need paths to the JDK and the main application
 
# Java packages are at the moment ignored, maybe do it JOP like
 
# Redefine TARGET_SRC to put your sources somewhere else
 
JDK_SRC=java/target/src
TARGET_SRC=java/target/src
TARGET_SRC=java/target/src
 
SOURCE_PATH=$(JDK_SRC)$(S)$(TARGET_SRC)
 
 
all: directories tools rom
all: directories tools rom
        make lerosusb
        make lerosusb
        make config
        make config
 
 
Line 74... Line 79...
 
 
java_app:
java_app:
        -rm -rf java/target/classes
        -rm -rf java/target/classes
        mkdir java/target/classes
        mkdir java/target/classes
        $(JAVAC_TARG) -target 1.5 -g -d java/target/classes \
        $(JAVAC_TARG) -target 1.5 -g -d java/target/classes \
                -sourcepath  $(TARGET_SRC) $(TARGET_SRC)/$(JAPP).java
                -sourcepath  $(SOURCE_PATH) $(TARGET_SRC)/$(JAPP).java
        cd $(MUVIUM); java -cp $(MUVIUM_CP)$(S)../java/target/classes \
        cd $(MUVIUM); java -cp $(MUVIUM_CP)$(S)../java/target/classes \
                MuviumMetal $(JAPP) config.xml ../asm/muvium.asm
                MuviumMetal $(JAPP) config.xml ../asm/muvium.asm
 
 
japp:
japp:
        make java_app
        make java_app
Line 91... Line 96...
        mkdir vhdl/generated
        mkdir vhdl/generated
        java -cp java/tools/lib/leros-tools.jar$(S)lib/antlr-3.3-complete.jar \
        java -cp java/tools/lib/leros-tools.jar$(S)lib/antlr-3.3-complete.jar \
                leros.asm.LerosAsm -s asm -d vhdl/generated $(APP).asm
                leros.asm.LerosAsm -s asm -d vhdl/generated $(APP).asm
 
 
jsim: rom
jsim: rom
        java -cp java/lib/leros-tools.jar -Dlog=false \
        java -cp java/tools/lib/leros-tools.jar -Dlog=false \
                leros.sim.LerosSim rom.txt
                leros.sim.LerosSim rom.txt
sim: rom
sim: rom
        cd modelsim; make
        cd modelsim; make
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.