OpenCores
URL https://opencores.org/ocsvn/mblite/mblite/trunk

Subversion Repositories mblite

[/] [mblite/] [trunk/] [hw/] [std/] [dsram.vhd] - Diff between revs 2 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 6
Line 25... Line 25...
    WIDTH : positive := 32;
    WIDTH : positive := 32;
    SIZE  : positive := 8
    SIZE  : positive := 8
);
);
PORT
PORT
(
(
    dat_o   : OUT std_ulogic_vector(WIDTH - 1 DOWNTO 0);
    dat_o   : OUT std_logic_vector(WIDTH - 1 DOWNTO 0);
    adr_i   : IN std_ulogic_vector(SIZE - 1 DOWNTO 0);
    adr_i   : IN std_logic_vector(SIZE - 1 DOWNTO 0);
    ena_i   : IN std_ulogic;
    ena_i   : IN std_logic;
    dat_w_i : IN std_ulogic_vector(WIDTH - 1 DOWNTO 0);
    dat_w_i : IN std_logic_vector(WIDTH - 1 DOWNTO 0);
    adr_w_i : IN std_ulogic_vector(SIZE - 1 DOWNTO 0);
    adr_w_i : IN std_logic_vector(SIZE - 1 DOWNTO 0);
    wre_i   : IN std_ulogic;
    wre_i   : IN std_logic;
    clk_i   : IN std_ulogic
    clk_i   : IN std_logic
);
);
END dsram;
END dsram;
 
 
ARCHITECTURE arch OF dsram IS
ARCHITECTURE arch OF dsram IS
    TYPE ram_type IS array(2 ** SIZE - 1 DOWNTO 0) OF std_ulogic_vector(WIDTH - 1 DOWNTO 0);
    TYPE ram_type IS array(2 ** SIZE - 1 DOWNTO 0) OF std_logic_vector(WIDTH - 1 DOWNTO 0);
    SIGNAL ram :  ram_type;
    SIGNAL ram :  ram_type;
BEGIN
BEGIN
    PROCESS(clk_i)
    PROCESS(clk_i)
    BEGIN
    BEGIN
        IF rising_edge(clk_i) THEN
        IF rising_edge(clk_i) THEN

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.