OpenCores
URL https://opencores.org/ocsvn/mdct/mdct/trunk

Subversion Repositories mdct

[/] [mdct/] [trunk/] [transcript] - Diff between revs 6 and 24

Show entire file | Details | Blame | View Log

Rev 6 Rev 24
?rev1line?
?rev2line?
 
#Reading E:/FPGAdv71PS/Modeltech/tcl/vsim/pref.tcl
 
#//  ModelSim SE 6.1a Jul 19 2005
 
#//
 
#//  Copyright Mentor Graphics Corporation 2005
 
#//              All Rights Reserved.
 
#//
 
#//  THIS WORK CONTAINS TRADE SECRET AND
 
#//  PROPRIETARY INFORMATION WHICH IS THE PROPERTY
 
#//  OF MENTOR GRAPHICS CORPORATION OR ITS LICENSORS
 
#//  AND IS SUBJECT TO LICENSE TERMS.
 
#//
 
# OpenFile "C:/elektronika/dct/MDCT/mdct.mpf"
 
#Loading project mdct
 
#Modifying C:/elektronika/dct/MDCT/mdct.mpf
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Compiling package mdct_pkg
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity rome
 
#-- Compiling architecture rtl of rome
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity romo
 
#-- Compiling architecture rtl of romo
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity ram
 
#-- Compiling architecture rtl of ram
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity dct1d
 
#-- Compiling architecture rtl of dct1d
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity dct2d
 
#-- Compiling architecture rtl of dct2d
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity dbufctl
 
#-- Compiling architecture rtl of dbufctl
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity mdct
 
#-- Compiling architecture rtl of mdct
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity clkgen
 
#-- Compiling architecture sim of clkgen
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package math_real
 
#-- Loading package textio
 
#-- Loading package mdct_pkg
 
#-- Compiling package mdcttb_pkg
 
#-- Compiling package body mdcttb_pkg
 
#-- Loading package mdcttb_pkg
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package textio
 
#-- Loading package std_logic_textio
 
#-- Loading package mdct_pkg
 
#-- Loading package math_real
 
#-- Loading package mdcttb_pkg
 
#-- Compiling entity inpimage
 
#-- Compiling architecture sim of inpimage
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Loading package vital_timing
 
#-- Loading package vcomponents
 
#-- Loading package vital_primitives
 
#-- Loading package textio
 
#-- Loading package vpackage
 
#-- Compiling entity tb_mdct
 
#-- Compiling architecture tb of tb_mdct
 
#-- Compiling configuration conf_mdct
 
#-- Loading entity tb_mdct
 
#-- Loading architecture tb of tb_mdct
 
#-- Loading entity mdct
 
#-- Loading entity clkgen
 
#-- Loading package std_logic_textio
 
#-- Loading package math_real
 
#-- Loading package mdcttb_pkg
 
#-- Loading entity inpimage
 
#-- Compiling configuration conf_mdct_timing
 
#-- Loading entity tb_mdct
 
#-- Loading architecture tb of tb_mdct
 
#-- Loading entity mdct
 
#-- Loading entity clkgen
 
#-- Loading entity inpimage
 
#** Warning: (vlib-34) Library already exists at "work".
 
#Modifying C:/elektronika/dct/MDCT/mdct.mpf
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Compiling package mdct_pkg
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity rome
 
#-- Compiling architecture rtl of rome
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity romo
 
#-- Compiling architecture rtl of romo
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity ram
 
#-- Compiling architecture rtl of ram
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity dct1d
 
#-- Compiling architecture rtl of dct1d
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity dct2d
 
#-- Compiling architecture rtl of dct2d
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity dbufctl
 
#-- Compiling architecture rtl of dbufctl
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity mdct
 
#-- Compiling architecture rtl of mdct
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Compiling entity clkgen
 
#-- Compiling architecture sim of clkgen
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package math_real
 
#-- Loading package textio
 
#-- Loading package mdct_pkg
 
#-- Compiling package mdcttb_pkg
 
#-- Compiling package body mdcttb_pkg
 
#-- Loading package mdcttb_pkg
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package textio
 
#-- Loading package std_logic_textio
 
#-- Loading package mdct_pkg
 
#-- Loading package math_real
 
#-- Loading package mdcttb_pkg
 
#-- Compiling entity inpimage
 
#-- Compiling architecture sim of inpimage
 
#Model Technology ModelSim SE vcom 6.1a Compiler 2005.07 Jul 19 2005
 
#-- Loading package standard
 
#-- Loading package std_logic_1164
 
#-- Loading package numeric_std
 
#-- Loading package mdct_pkg
 
#-- Loading package vital_timing
 
#-- Loading package vcomponents
 
#-- Loading package vital_primitives
 
#-- Loading package textio
 
#-- Loading package vpackage
 
#-- Compiling entity tb_mdct
 
#-- Compiling architecture tb of tb_mdct
 
#-- Compiling configuration conf_mdct
 
#-- Loading entity tb_mdct
 
#-- Loading architecture tb of tb_mdct
 
#-- Loading entity mdct
 
#-- Loading entity clkgen
 
#-- Loading package std_logic_textio
 
#-- Loading package math_real
 
#-- Loading package mdcttb_pkg
 
#-- Loading entity inpimage
 
#-- Compiling configuration conf_mdct_timing
 
#-- Loading entity tb_mdct
 
#-- Loading architecture tb of tb_mdct
 
#-- Loading entity mdct
 
#-- Loading entity clkgen
 
#-- Loading entity inpimage
 
#vsim -lib WORK -t ps CONF_MDCT
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../std.standard
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.std_logic_1164(body)
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.numeric_std(body)
 
# Loading work.mdct_pkg
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../std.textio(body)
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../vital2000.vital_timing(body)
 
# Loading f:\Xilinx\vhdl\mti_se\simprim.vcomponents
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../vital2000.vital_primitives(body)
 
# Loading f:\Xilinx\vhdl\mti_se\simprim.vpackage(body)
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.std_logic_textio(body)
 
# Loading E:\FPGAdv71PS\Modeltech\win32/../ieee.math_real(body)
 
# Loading work.mdcttb_pkg(body)
 
# Loading work.conf_mdct
 
# Loading work.tb_mdct(tb)
 
# Loading work.mdct(rtl)
 
# Loading work.dct1d(rtl)
 
# Loading work.dct2d(rtl)
 
# Loading work.ram(rtl)
 
# Loading work.dbufctl(rtl)
 
# Loading work.rome(rtl)
 
# Loading work.romo(rtl)
 
# Loading work.clkgen(sim)
 
# Loading work.inpimage(sim)
 
#** Error: (vish-4014) No objects found matching '/tb_mdct/u_mdct/u1_rome0/rom'.
 
#Executing ONERROR command at macro ./SOURCE/TESTBENCH/WAVE.DO line 102
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo10
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo9
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo8
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo7
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo6
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo5
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo4
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo3
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo2
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo1
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_romo0
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome10
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome9
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome8
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome7
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome6
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome5
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome4
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome3
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome2
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome1
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_rome0
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo8
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo7
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo6
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo5
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo4
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo3
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo2
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo1
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_romo0
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome8
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome7
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome6
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome5
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome4
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome3
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome2
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome1
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_rome0
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u2_ram
 
#** Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0
 
#   Time: 0 ps  Iteration: 0  Instance: /tb_mdct/u_mdct/u1_ram
 
#** Note: No errors found in first stage of DCT
 
#   Time: 33632450 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
 
#** Note: 1D Test finished
 
#   Time: 33632450 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
 
#** Note: PSNR computed for image SOURCE\TESTBENCH\lena512.txt is 4.855483e+001 dB
 
#   Time: 33641650 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
 
#** Note: No errors found in second stage of DCT
 
#   Time: 33641750 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage
 
#** Note: 2D Test finished
 
#   Time: 33641750 ns  Iteration: 3  Instance: /tb_mdct/u_inpimage

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.