OpenCores
URL https://opencores.org/ocsvn/modular_oscilloscope/modular_oscilloscope/trunk

Subversion Repositories modular_oscilloscope

[/] [modular_oscilloscope/] [trunk/] [hdl/] [epp/] [eppwbn_16bit.vhd] - Diff between revs 22 and 50

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 22 Rev 50
Line 52... Line 52...
        DAT_O: out std_logic_vector (15 downto 0);
        DAT_O: out std_logic_vector (15 downto 0);
        ADR_O: out std_logic_vector (7 downto 0);
        ADR_O: out std_logic_vector (7 downto 0);
        CYC_O: out std_logic;
        CYC_O: out std_logic;
        STB_O: out std_logic;
        STB_O: out std_logic;
        ACK_I: in std_logic ;
        ACK_I: in std_logic ;
        WE_O: out std_logic;
        WE_O: out std_logic
 
 
  -- TEMPORAL monitores
  -- TEMPORAL monitores
  epp_mode_monitor: out std_logic_vector(1 downto 0)
  --epp_mode_monitor: out std_logic_vector(1 downto 0)
 
 
        );
        );
end eppwbn_16bit;
end eppwbn_16bit;
 
 
 
 
Line 77... Line 77...
 
 
 
 
  U_EPPWBN8: eppwbn
  U_EPPWBN8: eppwbn
  port map(
  port map(
    -- TEMPORAL
    -- TEMPORAL
    epp_mode_monitor => epp_mode_monitor,
    --epp_mode_monitor => epp_mode_monitor,
 
 
 
 
    -- To EPP interface
    -- To EPP interface
    nStrobe => nStrobe,
    nStrobe => nStrobe,
    Data => Data,
    Data => Data,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.