OpenCores
URL https://opencores.org/ocsvn/oms8051mini/oms8051mini/trunk

Subversion Repositories oms8051mini

[/] [oms8051mini/] [trunk/] [rtl/] [8051/] [oc8051_uart.v] - Diff between revs 25 and 36

Show entire file | Details | Blame | View Log

Rev 25 Rev 36
Line 16... Line 16...
////      - Dinesh Annayya, dinesha@opencores.org                 ////
////      - Dinesh Annayya, dinesha@opencores.org                 ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////   v0.0 - Dinesh A, 5th Jan 2017
////   v0.0 - Dinesh A, 5th Jan 2017
////        1. Active edge of reset changed from High to Low
////        1. Active edge of reset changed from High to Low
 
////   v0.1 - Dinesh A, 19th Jan 2017
 
////        1. Lint Warning fixes
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
Line 135... Line 137...
assign ri  = scon[0];
assign ri  = scon[0];
 
 
always @(posedge clk or negedge resetn)
always @(posedge clk or negedge resetn)
begin
begin
  if (resetn == 1'b0)
  if (resetn == 1'b0)
    scon <= #1 `OC8051_RST_SCON;
    scon <= `OC8051_RST_SCON;
  else if ((wr) & !(wr_bit) & (wr_addr==`OC8051_SFR_SCON))
  else if ((wr) & !(wr_bit) & (wr_addr==`OC8051_SFR_SCON))
    scon <= #1 data_in;
    scon <= data_in;
  else if ((wr) & (wr_bit) & (wr_addr[7:3]==`OC8051_SFR_B_SCON))
  else if ((wr) & (wr_bit) & (wr_addr[7:3]==`OC8051_SFR_B_SCON))
    scon[wr_addr[2:0]] <= #1 bit_in;
    scon[wr_addr[2:0]] <= bit_in;
  else if (tx_done)
  else if (tx_done)
    scon[1] <= #1 1'b1;
    scon[1] <= 1'b1;
  else if (!rx_done) begin
  else if (!rx_done) begin
    if (scon[7:6]==2'b00) begin
    if (scon[7:6]==2'b00) begin
      scon[0] <= #1 1'b1;
      scon[0] <= 1'b1;
    end else if ((sbuf_rxd_tmp[11]) | !(scon[5])) begin
    end else if ((sbuf_rxd_tmp[11]) | !(scon[5])) begin
      scon[0] <= #1 1'b1;
      scon[0] <= 1'b1;
      scon[2] <= #1 sbuf_rxd_tmp[11];
      scon[2] <= sbuf_rxd_tmp[11];
    end else
    end else
      scon[2] <= #1 sbuf_rxd_tmp[11];
      scon[2] <= sbuf_rxd_tmp[11];
  end
  end
end
end
 
 
//
//
//power control register
//power control register
Line 162... Line 164...
assign smod = pcon[7];
assign smod = pcon[7];
always @(posedge clk or negedge resetn)
always @(posedge clk or negedge resetn)
begin
begin
  if (resetn == 1'b0)
  if (resetn == 1'b0)
  begin
  begin
    pcon <= #1 `OC8051_RST_PCON;
    pcon <= `OC8051_RST_PCON;
  end else if ((wr_addr==`OC8051_SFR_PCON) & (wr) & !(wr_bit))
  end else if ((wr_addr==`OC8051_SFR_PCON) & (wr) & !(wr_bit))
    pcon <= #1 data_in;
    pcon <= data_in;
end
end
 
 
 
 
//
//
//serial port buffer (transmit)
//serial port buffer (transmit)
Line 178... Line 180...
assign wr_sbuf = (wr_addr==`OC8051_SFR_SBUF) & (wr) & !(wr_bit);
assign wr_sbuf = (wr_addr==`OC8051_SFR_SBUF) & (wr) & !(wr_bit);
 
 
always @(posedge clk or negedge resetn)
always @(posedge clk or negedge resetn)
begin
begin
  if (resetn == 1'b0) begin
  if (resetn == 1'b0) begin
    txd      <= #1 1'b1;
    txd      <= 1'b1;
    tr_count <= #1 4'd0;
    tr_count <= 4'd0;
    trans    <= #1 1'b0;
    trans    <= 1'b0;
    sbuf_txd <= #1 11'h00;
    sbuf_txd <= 11'h00;
    tx_done  <= #1 1'b0;
    tx_done  <= 1'b0;
//
//
// start transmiting
// start transmiting
//
//
  end else if (wr_sbuf) begin
  end else if (wr_sbuf) begin
    case (scon[7:6]) /* synopsys parallel_case */
    case (scon[7:6]) /* synopsys parallel_case */
      2'b00: begin  // mode 0
      2'b00: begin  // mode 0
        sbuf_txd <= #1 {3'b001, data_in};
        sbuf_txd <= {3'b001, data_in};
      end
      end
      2'b01: begin // mode 1
      2'b01: begin // mode 1
        sbuf_txd <= #1 {2'b01, data_in, 1'b0};
        sbuf_txd <= {2'b01, data_in, 1'b0};
      end
      end
      default: begin  // mode 2 and mode 3
      default: begin  // mode 2 and mode 3
        sbuf_txd <= #1 {1'b1, tb8, data_in, 1'b0};
        sbuf_txd <= {1'b1, tb8, data_in, 1'b0};
      end
      end
    endcase
    endcase
    trans    <= #1 1'b1;
    trans    <= 1'b1;
    tr_count <= #1 4'd0;
    tr_count <= 4'd0;
    tx_done  <= #1 1'b0;
    tx_done  <= 1'b0;
//
//
// transmiting
// transmiting
//
//
  end else if (trans & (scon[7:6] == 2'b00) & pres_ow) // mode 0
  end else if (trans & (scon[7:6] == 2'b00) & pres_ow) // mode 0
  begin
  begin
    if (~|sbuf_txd[10:1]) begin
    if (~|sbuf_txd[10:1]) begin
      trans   <= #1 1'b0;
      trans   <= 1'b0;
      tx_done <= #1 1'b1;
      tx_done <= 1'b1;
    end else begin
    end else begin
      {sbuf_txd, txd} <= #1 {1'b0, sbuf_txd};
      {sbuf_txd, txd} <= {1'b0, sbuf_txd};
      tx_done         <= #1 1'b0;
      tx_done         <= 1'b0;
    end
    end
  end else if (trans & (scon[7:6] != 2'b00) & shift_tr) begin // mode 1, 2, 3
  end else if (trans & (scon[7:6] != 2'b00) & shift_tr) begin // mode 1, 2, 3
    tr_count <= #1 tr_count + 4'd1;
    tr_count <= tr_count + 4'd1;
    if (~|tr_count) begin
    if (~|tr_count) begin
      if (~|sbuf_txd[10:0]) begin
      if (~|sbuf_txd[10:0]) begin
        trans   <= #1 1'b0;
        trans   <= 1'b0;
        tx_done <= #1 1'b1;
        tx_done <= 1'b1;
        txd <= #1 1'b1;
        txd <= 1'b1;
      end else begin
      end else begin
        {sbuf_txd, txd} <= #1 {1'b0, sbuf_txd};
        {sbuf_txd, txd} <= {1'b0, sbuf_txd};
        tx_done         <= #1 1'b0;
        tx_done         <= 1'b0;
      end
      end
    end
    end
  end else if (!trans) begin
  end else if (!trans) begin
    txd     <= #1 1'b1;
    txd     <= 1'b1;
    tx_done <= #1 1'b0;
    tx_done <= 1'b0;
  end
  end
end
end
 
 
//
//
//
//
Line 248... Line 250...
end
end
 
 
always @(posedge clk or negedge resetn)
always @(posedge clk or negedge resetn)
begin
begin
  if (resetn == 1'b0) begin
  if (resetn == 1'b0) begin
    smod_clk_tr <= #1 1'b0;
    smod_clk_tr <= 1'b0;
    shift_tr    <= #1 1'b0;
    shift_tr    <= 1'b0;
  end else if (sc_clk_tr) begin
  end else if (sc_clk_tr) begin
    if (smod) begin
    if (smod) begin
      shift_tr <= #1 1'b1;
      shift_tr <= 1'b1;
    end else begin
    end else begin
      shift_tr    <= #1  smod_clk_tr;
      shift_tr    <=  smod_clk_tr;
      smod_clk_tr <= #1 !smod_clk_tr;
      smod_clk_tr <= !smod_clk_tr;
    end
    end
  end else begin
  end else begin
    shift_tr <= #1 1'b0;
    shift_tr <= 1'b0;
  end
  end
end
end
 
 
 
 
//
//
//serial port buffer (receive)
//serial port buffer (receive)
//
//
always @(posedge clk or negedge resetn)
always @(posedge clk or negedge resetn)
begin
begin
  if (resetn == 1'b0) begin
  if (resetn == 1'b0) begin
    re_count     <= #1 4'd0;
    re_count     <= 4'd0;
    receive      <= #1 1'b0;
    receive      <= 1'b0;
    sbuf_rxd     <= #1 8'h00;
    sbuf_rxd     <= 8'h00;
    sbuf_rxd_tmp <= #1 12'd0;
    sbuf_rxd_tmp <= 12'd0;
    rx_done      <= #1 1'b1;
    rx_done      <= 1'b1;
    rxd_r        <= #1 1'b1;
    rxd_r        <= 1'b1;
    rx_sam       <= #1 2'b00;
    rx_sam       <= 2'b00;
  end else if (!rx_done) begin
  end else if (!rx_done) begin
    receive <= #1 1'b0;
    receive <= 1'b0;
    rx_done <= #1 1'b1;
    rx_done <= 1'b1;
    sbuf_rxd <= #1 sbuf_rxd_tmp[10:3];
    sbuf_rxd <= sbuf_rxd_tmp[10:3];
  end else if (receive & (scon[7:6]==2'b00) & pres_ow) begin //mode 0
  end else if (receive & (scon[7:6]==2'b00) & pres_ow) begin //mode 0
    {sbuf_rxd_tmp, rx_done} <= #1 {rxd, sbuf_rxd_tmp};
    {sbuf_rxd_tmp, rx_done} <= {rxd, sbuf_rxd_tmp};
  end else if (receive & (scon[7:6]!=2'b00) & shift_re) begin //mode 1, 2, 3
  end else if (receive & (scon[7:6]!=2'b00) & shift_re) begin //mode 1, 2, 3
    re_count <= #1 re_count + 4'd1;
    re_count <= re_count + 4'd1;
    case (re_count) /* synopsys full_case parallel_case */
    case (re_count) /* synopsys full_case parallel_case */
      4'h7: rx_sam[0] <= #1 rxd;
      4'h7: rx_sam[0] <= rxd;
      4'h8: rx_sam[1] <= #1 rxd;
      4'h8: rx_sam[1] <= rxd;
      4'h9: begin
      4'h9: begin
        {sbuf_rxd_tmp, rx_done} <= #1 {(rxd==rx_sam[0] ? rxd : rx_sam[1]), sbuf_rxd_tmp};
        {sbuf_rxd_tmp, rx_done} <= {(rxd==rx_sam[0] ? rxd : rx_sam[1]), sbuf_rxd_tmp};
      end
      end
 
       default : rx_sam       <= 2'b00;
    endcase
    endcase
//
//
//start receiving
//start receiving
//
//
  end else if (scon[7:6]==2'b00) begin //start mode 0
  end else if (scon[7:6]==2'b00) begin //start mode 0
    rx_done <= #1 1'b1;
    rx_done <= 1'b1;
    if (ren && !ri && !receive) begin
    if (ren && !ri && !receive) begin
      receive      <= #1 1'b1;
      receive      <= 1'b1;
      sbuf_rxd_tmp <= #1 10'h0ff;
      sbuf_rxd_tmp <= 10'h0ff;
    end
    end
  end else if (ren & shift_re) begin
  end else if (ren & shift_re) begin
    rxd_r <= #1 rxd;
    rxd_r <= rxd;
    rx_done <= #1 1'b1;
    rx_done <= 1'b1;
    re_count <= #1 4'h0;
    re_count <= 4'h0;
    receive <= #1 (rxd_r & !rxd);
    receive <= (rxd_r & !rxd);
    sbuf_rxd_tmp <= #1 10'h1ff;
    sbuf_rxd_tmp <= 10'h1ff;
  end else if (!ren) begin
  end else if (!ren) begin
    rxd_r <= #1 rxd;
    rxd_r <= rxd;
  end else
  end else
    rx_done <= #1 1'b1;
    rx_done <= 1'b1;
end
end
 
 
//
//
//
//
reg sc_clk_re, smod_clk_re;
reg sc_clk_re, smod_clk_re;
Line 329... Line 332...
end
end
 
 
always @(posedge clk or negedge resetn)
always @(posedge clk or negedge resetn)
begin
begin
  if (resetn == 1'b0) begin
  if (resetn == 1'b0) begin
    smod_clk_re <= #1 1'b0;
    smod_clk_re <= 1'b0;
    shift_re    <= #1 1'b0;
    shift_re    <= 1'b0;
  end else if (sc_clk_re) begin
  end else if (sc_clk_re) begin
    if (smod) begin
    if (smod) begin
      shift_re <= #1 1'b1;
      shift_re <= 1'b1;
    end else begin
    end else begin
      shift_re    <= #1  smod_clk_re;
      shift_re    <=  smod_clk_re;
      smod_clk_re <= #1 !smod_clk_re;
      smod_clk_re <= !smod_clk_re;
    end
    end
  end else begin
  end else begin
    shift_re <= #1 1'b0;
    shift_re <= 1'b0;
  end
  end
end
end
 
 
 
 
 
 
Line 352... Line 355...
//
//
 
 
always @(posedge clk or negedge resetn)
always @(posedge clk or negedge resetn)
begin
begin
  if (resetn == 1'b0) begin
  if (resetn == 1'b0) begin
    t1_ow_buf <= #1 1'b0;
    t1_ow_buf <= 1'b0;
  end else begin
  end else begin
    t1_ow_buf <= #1 t1_ow;
    t1_ow_buf <= t1_ow;
  end
  end
end
end
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.