OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_ram_4k.vhd] - Diff between revs 259 and 333

Show entire file | Details | Blame | View Log

Rev 259 Rev 333
Line 36... Line 36...
-- Offset  Bitfield Description                        Read/Write
-- Offset  Bitfield Description                        Read/Write
--   0x00  AAAAAAAA Region Enables  7:0                  (RW)
--   0x00  AAAAAAAA Region Enables  7:0                  (RW)
--   0x01  AAAAAAAA Region Enables 15:8                  (RW)
--   0x01  AAAAAAAA Region Enables 15:8                  (RW)
--   0x02  AAAAAAAA Region Enables 23:16                 (RW)
--   0x02  AAAAAAAA Region Enables 23:16                 (RW)
--   0x03  AAAAAAAA Region Enables 31:24                 (RW)
--   0x03  AAAAAAAA Region Enables 31:24                 (RW)
 
--   0x04  AAAAAAAA Fault Address  7:0                   (RW*)
 
--   0x05  AAAAAAAA Fault Address 15:8                   (RW*)
 
--
 
-- Note: Writing to 0x04 or 0x05 will reset the faulting address to 0x0000
--
--
-- Revision History
-- Revision History
-- Author          Date     Change
-- Author          Date     Change
------------------ -------- ---------------------------------------------------
------------------ -------- ---------------------------------------------------
-- Seth Henry      04/16/20 Revision block added
-- Seth Henry      04/16/20 Revision block added
-- Seth Henry      05/12/20 Added write protect logic
-- Seth Henry      05/12/20 Added write protect logic
 
-- Seth Henry      10/04/23 Added faulting address register
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
Line 70... Line 75...
architecture behave of o8_ram_4k is
architecture behave of o8_ram_4k is
 
 
  alias  Clock               is Open8_Bus.Clock;
  alias  Clock               is Open8_Bus.Clock;
  alias  Reset               is Open8_Bus.Reset;
  alias  Reset               is Open8_Bus.Reset;
  alias  ISR_En              is Open8_Bus.GP_Flags(EXT_ISR);
  alias  ISR_En              is Open8_Bus.GP_Flags(EXT_ISR);
 
  alias  Full_Address        is Open8_Bus.Address;
  alias  Wr_En               is Open8_Bus.Wr_En;
  alias  Wr_En               is Open8_Bus.Wr_En;
  alias  Rd_En               is Open8_Bus.Rd_En;
  alias  Rd_En               is Open8_Bus.Rd_En;
 
 
  constant WPR_User_Addr     : std_logic_vector(15 downto 2)
  constant WPR_User_Addr     : std_logic_vector(15 downto 3)
                               := Address_WPR(15 downto 2);
                               := Address_WPR(15 downto 3);
 
 
  constant RAM_User_Addr     : std_logic_vector(15 downto 12)
  constant RAM_User_Addr     : std_logic_vector(15 downto 12)
                               := Address_RAM(15 downto 12);
                               := Address_RAM(15 downto 12);
 
 
  alias  WPR_Comp_Addr       is Open8_Bus.Address(15 downto 2);
  alias  WPR_Comp_Addr       is Open8_Bus.Address(15 downto 3);
  signal WPR_Addr_Match      : std_logic := '0';
  signal WPR_Addr_Match      : std_logic := '0';
 
 
  alias  WPR_Reg_Sel_d       is Open8_Bus.Address(1 downto 0);
  alias  WPR_Reg_Sel_d       is Open8_Bus.Address(2 downto 0);
  signal WPR_Reg_Sel_q       : std_logic_vector(1 downto 0) :=
  signal WPR_Reg_Sel_q       : std_logic_vector(2 downto 0) :=
                                (others => '0');
                                (others => '0');
 
 
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
  signal WPR_Wr_Data_q       : DATA_TYPE := x"00";
  signal WPR_Wr_Data_q       : DATA_TYPE := x"00";
 
 
Line 115... Line 121...
  signal RAM_Rd_En_d         : std_logic := '0';
  signal RAM_Rd_En_d         : std_logic := '0';
  signal RAM_Rd_En_q         : std_logic := '0';
  signal RAM_Rd_En_q         : std_logic := '0';
  signal RAM_Rd_Data         : DATA_TYPE := OPEN8_NULLBUS;
  signal RAM_Rd_Data         : DATA_TYPE := OPEN8_NULLBUS;
 
 
  signal Write_Fault_d       : std_logic := '0';
  signal Write_Fault_d       : std_logic := '0';
 
  signal Write_Fault_q       : std_logic := '0';
 
 
 
  signal Current_Addr        : ADDRESS_TYPE := x"0000";
 
  signal Fault_Addr          : ADDRESS_TYPE := x"0000";
 
  alias  Fault_Addr_l        is Fault_Addr(7 downto 0);
 
  alias  Fault_Addr_h        is Fault_Addr(15 downto 8);
begin
begin
 
 
Write_Protect_On : if( Write_Protect )generate
Write_Protect_On : if( Write_Protect )generate
 
 
  WPR_Addr_Match             <= '1' when WPR_Comp_Addr = WPR_User_Addr else '0';
  WPR_Addr_Match             <= '1' when WPR_Comp_Addr = WPR_User_Addr else '0';
Line 134... Line 145...
  RAM_Rd_En_d                <= RAM_Addr_Match and Rd_En;
  RAM_Rd_En_d                <= RAM_Addr_Match and Rd_En;
  RAM_Wr_En_d                <= RAM_Addr_Match and RAM_Region_Match and Wr_En;
  RAM_Wr_En_d                <= RAM_Addr_Match and RAM_Region_Match and Wr_En;
 
 
  Write_Fault_d              <= RAM_Addr_Match and (not RAM_Region_Match) and Wr_En;
  Write_Fault_d              <= RAM_Addr_Match and (not RAM_Region_Match) and Wr_En;
 
 
 
  Write_Fault                <= Write_Fault_q;
 
 
  RAM_proc: process( Reset, Clock )
  RAM_proc: process( Reset, Clock )
  begin
  begin
    if( Reset = Reset_Level )then
    if( Reset = Reset_Level )then
 
 
      WPR_Reg_Sel_q          <= (others => '0');
      WPR_Reg_Sel_q          <= (others => '0');
Line 149... Line 162...
      Write_Mask             <= Default_Mask;
      Write_Mask             <= Default_Mask;
 
 
      RAM_Rd_En_q            <= '0';
      RAM_Rd_En_q            <= '0';
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_Data                <= OPEN8_NULLBUS;
 
 
      Write_Fault            <= '0';
      Write_Fault_q          <= '0';
 
          Current_Addr           <= x"0000";
 
 
    elsif( rising_edge(Clock) )then
    elsif( rising_edge(Clock) )then
      WPR_Reg_Sel_q          <= WPR_Reg_Sel_d;
      WPR_Reg_Sel_q          <= WPR_Reg_Sel_d;
 
 
      WPR_Wr_En_q            <= WPR_Wr_En_d;
      WPR_Wr_En_q            <= WPR_Wr_En_d;
      WPR_Wr_Data_q          <= Wr_Data_d;
      WPR_Wr_Data_q          <= Wr_Data_d;
      if( WPR_Wr_En_q = '1' )then
      if( WPR_Wr_En_q = '1' )then
        case( WPR_Reg_Sel_q )is
        case( WPR_Reg_Sel_q )is
          when "00" =>
          when "000" =>
            Write_Mask_0     <= WPR_Wr_Data_q;
            Write_Mask_0     <= WPR_Wr_Data_q;
          when "01" =>
          when "001" =>
            Write_Mask_1     <= WPR_Wr_Data_q;
            Write_Mask_1     <= WPR_Wr_Data_q;
          when "10" =>
          when "010" =>
            Write_Mask_2     <= WPR_Wr_Data_q;
            Write_Mask_2     <= WPR_Wr_Data_q;
          when "11" =>
          when "011" =>
            Write_Mask_3     <= WPR_Wr_Data_q;
            Write_Mask_3     <= WPR_Wr_Data_q;
 
                  when "100" | "101" =>
 
                    Fault_Addr       <= (others => '0');
          when others =>
          when others =>
            null;
            null;
        end case;
        end case;
      end if;
      end if;
 
 
Line 179... Line 195...
      Rd_Data                <= OPEN8_NULLBUS;
      Rd_Data                <= OPEN8_NULLBUS;
      if( RAM_Rd_En_q = '1' )then
      if( RAM_Rd_En_q = '1' )then
        Rd_Data              <= RAM_Rd_Data;
        Rd_Data              <= RAM_Rd_Data;
      elsif( WPR_Rd_En_q = '1'  )then
      elsif( WPR_Rd_En_q = '1'  )then
        case( WPR_Reg_Sel_q )is
        case( WPR_Reg_Sel_q )is
          when "00" =>
          when "000" =>
            Rd_Data          <= Write_Mask_0;
            Rd_Data          <= Write_Mask_0;
          when "01" =>
          when "001" =>
            Rd_Data          <= Write_Mask_1;
            Rd_Data          <= Write_Mask_1;
          when "10" =>
          when "010" =>
            Rd_Data          <= Write_Mask_2;
            Rd_Data          <= Write_Mask_2;
          when "11" =>
          when "011" =>
            Rd_Data          <= Write_Mask_3;
            Rd_Data          <= Write_Mask_3;
 
                  when "100" =>
 
                    Rd_Data          <= Fault_Addr_l;
 
                  when "101" =>
 
                    Rd_Data          <= Fault_Addr_h;
          when others =>
          when others =>
            null;
            null;
        end case;
        end case;
      end if;
      end if;
 
 
      Write_Fault            <= Write_Fault_d;
      Write_Fault_q          <= Write_Fault_d;
 
 
 
          Current_Addr           <= Full_Address;
 
          if( Write_Fault_q = '1' )then
 
             Fault_Addr          <= Current_Addr;
 
      end if;
 
 
    end if;
    end if;
  end process;
  end process;
 
 
end generate;
end generate;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.