OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [bench/] [vhdl/] [build.sh] - Diff between revs 3 and 10

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 10
Line 1... Line 1...
#!/bin/bash
#!/bin/bash
 
 
# analysis
# analysis
ghdl -a parse_price.vhd
ghdl -a ../../rtl/vhdl/parse_price.vhd
ghdl -a parse_price_sim.vhd
ghdl -a ../../sim/rtl_sim/src/parse_price_sim.vhd
ghdl -a parse_price_wrapper.vhd
ghdl -a parse_price_wrapper.vhd
ghdl -a hitter_sim.vhd
ghdl -a ../../sim/rtl_sim/src/hitter_sim.vhd
ghdl -a hitter_wrapper.vhd
ghdl -a hitter_wrapper.vhd
 
 
# elaboration & run
# elaboration & run
ghdl -e parse_price
ghdl -e parse_price
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.