OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [bench/] [vhdl/] [search_control_wrapper.vhd] - Diff between revs 17 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 17 Rev 18
Line 102... Line 102...
           signal order_id_o: std_logic_vector(15 downto 0);     -- unique/identifier/counter
           signal order_id_o: std_logic_vector(15 downto 0);     -- unique/identifier/counter
        -- control
        -- control
           signal order_px_valid_o: std_logic;
           signal order_px_valid_o: std_logic;
   begin
   begin
        search_control_0: search_control
        search_control_0: search_control
           generic map ( searchitems => 3 )
           generic map ( searchitems => 4 )   -- for test case when full at 4 orders
           port map (
           port map (
              RX_CLK => RX_CLK,
              RX_CLK => RX_CLK,
           -- control flag(s) on the incoming bus
           -- control flag(s) on the incoming bus
              search_px_valid_i => search_px_valid_i,
              search_px_valid_i => search_px_valid_i,
           -- pxdata: in price_packet
           -- pxdata: in price_packet
Line 124... Line 124...
              order_sec_o => order_sec_o,
              order_sec_o => order_sec_o,
              order_id_o => order_id_o,
              order_id_o => order_id_o,
           -- control
           -- control
              order_px_valid_o => order_px_valid_o
              order_px_valid_o => order_px_valid_o
           );
           );
 
 
   process
   process
        variable l : line;
        variable l : line;
        variable res : integer;
        variable res : integer;
 
 
        type input_pattern_type is record
        type input_pattern_type is record
Line 169... Line 170...
         constant other_px: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000001110");
         constant other_px: std_logic_vector(15 downto 0) := std_logic_vector'("0000000000001110");
         constant other_sec: std_logic_vector(55 downto 0) := std_logic_vector'(X"CDC423354634AA");
         constant other_sec: std_logic_vector(55 downto 0) := std_logic_vector'(X"CDC423354634AA");
         type input_pattern_array is array (natural range <>) of input_pattern_type;
         type input_pattern_array is array (natural range <>) of input_pattern_type;
           constant input_patterns : input_pattern_array :=
           constant input_patterns : input_pattern_array :=
             ( ('1', std_logic_vector'("00000"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec), -- 0 reset
             ( ('1', std_logic_vector'("00000"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec), -- 0 reset
              ('1', std_logic_vector'("01010"), std_logic_vector'("000"), test_px, set_qty, test_sec0), -- 0 pre
 
               ('1', std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec), -- 1 nothing
               ('1', std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec), -- 1 nothing
               ('1', std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec0),  -- 2 sec/set
               ('1', std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec0),  -- 2 sec/set
               ('1', std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec1),  -- 3 sec/set
               ('1', std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec1),  -- 3 sec/set
               ('1', std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec1),  -- 4 sec/set - repeat
               ('1', std_logic_vector'("00110"), std_logic_vector'("000"), test_px, set_qty, test_sec1),  -- 4 sec/set - repeat
               ('1', std_logic_vector'("00110"), std_logic_vector'("111"), test_px, set_qty, test_sec2),  -- 5 sec/set
               ('1', std_logic_vector'("00110"), std_logic_vector'("111"), test_px, set_qty, test_sec2),  -- 5 sec/set
Line 184... Line 184...
               ('1', std_logic_vector'("11100"), std_logic_vector'("111"), other_px, test_qty, test_sec1),   -- 10incoming px (too low sale price)
               ('1', std_logic_vector'("11100"), std_logic_vector'("111"), other_px, test_qty, test_sec1),   -- 10incoming px (too low sale price)
               ('1', std_logic_vector'("11100"), std_logic_vector'("111"), test_px, test_qty, test_sec1) ); -- 11incoming px (part qty)
               ('1', std_logic_vector'("11100"), std_logic_vector'("111"), test_px, test_qty, test_sec1) ); -- 11incoming px (part qty)
         type output_pattern_array is array (natural range <>) of output_pattern_type;
         type output_pattern_array is array (natural range <>) of output_pattern_type;
           constant output_patterns : output_pattern_array :=
           constant output_patterns : output_pattern_array :=
             ( (std_logic_vector'("00000"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 0 reset
             ( (std_logic_vector'("00000"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 0 reset
              (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0000")),  -- 0 pre
 
               (std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 1 nothing
               (std_logic_vector'("ZZZZZ"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 1 nothing
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0000")),  -- 2 sec/set
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0000")),  -- 2 sec/set
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0001")),  -- 3 sec/set
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0001")),  -- 3 sec/set
               (std_logic_vector'("00110"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0001")),  -- 4 sec/set
               (std_logic_vector'("00110"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0001")),  -- 4 sec/set
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0002")),  -- 5 sec/set
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0002")),  -- 5 sec/set
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0003")),  -- 6 sec/set
               (std_logic_vector'("01010"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, std_logic_vector'(X"0003")),  -- 6 sec/set
               (std_logic_vector'("11111"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 7 bad sec/set (too many)
               (std_logic_vector'("11111"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 7 bad sec/set (too many)
               (std_logic_vector'("11100"), std_logic_vector'("000"), test_px, test_qty, test_sec1, test_id),  -- 8 incoming px
               (std_logic_vector'("11100"), std_logic_vector'("000"), test_px, test_qty, test_sec1, std_logic_vector'(X"0001")),  -- 8 incoming px
               (std_logic_vector'("11110"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 9 incoming px (wrong security)
               (std_logic_vector'("11110"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 9 incoming px (wrong security)
               (std_logic_vector'("11101"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 10incoming px (too low sale price)
               (std_logic_vector'("11101"), std_logic_vector'("ZZZ"), zz_px, zz_qty, zz_sec, zz_id),  -- 10incoming px (too low sale price)
               (std_logic_vector'("11100"), std_logic_vector'("000"), test_px, remain_qty, test_sec1, test_id) );  -- 11incoming px (part qty)
               (std_logic_vector'("11100"), std_logic_vector'("000"), test_px, remain_qty, test_sec1, std_logic_vector'(X"0001")) );  -- 11incoming px (part qty)
   begin
   begin
        write (l, String'("Exercising search_control"));
        -- diagnostics (uncomment)
        writeline (output, l);
        -- write (l, String'("Exercising search_control"));
        RX_CLK <= '1';
        -- writeline (output, l);
 
        RX_CLK <= '0';
        wait for 1 us;
        wait for 1 us;
 
 
           --  Check each pattern.
           --  Check each pattern.
           for i in input_patterns'range loop
           for i in input_patterns'range loop
 
              -- diagnostics (uncomment)
 
              -- write (l, String'("Wrapper start loop i: "));
 
              -- write (l, i);
 
              -- writeline (output, l);
 
 
              --  Set the inputs.
              --  Set the inputs.
              search_px_valid_i <= input_patterns(i).search_px_valid_i;
              search_px_valid_i <= input_patterns(i).search_px_valid_i;
              search_px_type_i <= input_patterns(i).search_px_type_i;
              search_px_type_i <= input_patterns(i).search_px_type_i;
              search_buy_sell_i <= input_patterns(i).search_buy_sell_i;
              search_buy_sell_i <= input_patterns(i).search_buy_sell_i;
              search_px_i <= input_patterns(i).search_px_i;
              search_px_i <= input_patterns(i).search_px_i;
              search_qty_i <= input_patterns(i).search_qty_i;
              search_qty_i <= input_patterns(i).search_qty_i;
              search_sec_i <= input_patterns(i).search_sec_i;
              search_sec_i <= input_patterns(i).search_sec_i;
              --search_id_i <= input_patterns(i).search_id_i;
              --search_id_i <= input_patterns(i).search_id_i;
              --  Clock once for the results (falling edge)
              --  Clock once for the results (rising edge)
              RX_CLK <= '0';
              RX_CLK <= '1';
              wait for 1 us;
              wait for 1 us;
              search_px_valid_i <= '0';
              search_px_valid_i <= '0';
              --  Check the outputs.
              --  Check the outputs.
              res := 0;
              res := 0;
              for r in 0 to 3 loop
              for r in 0 to 3 loop
 
                 -- diagnostics (uncomment)
 
                 -- write (l, String'("  Wrapper wait results r: "));
 
                 -- write (l, r);
 
                 -- write (l, String'(" order_px_valid_o: "));
 
                 -- write (l, std_logic'image(order_px_valid_o));
 
                 -- writeline (output, l);
                 if order_px_valid_o = '1' then
                 if order_px_valid_o = '1' then
                    assert order_px_type_o = output_patterns(i).order_px_type_o report "search_control_wrapper: test: " & integer'image(i) & " bad px type" severity error;
                    assert order_px_type_o = output_patterns(i).order_px_type_o report "search_control_wrapper: test: " & integer'image(i) & " bad px type" severity error;
                    assert order_buy_sell_o = output_patterns(i).order_buy_sell_o report "search_control_wrapper: test: " & integer'image(i) & " bad buy_sell" severity error;
                    assert order_buy_sell_o = output_patterns(i).order_buy_sell_o report "search_control_wrapper: test: " & integer'image(i) & " bad buy_sell" severity error;
                    assert order_px_o = output_patterns(i).order_px_o report "search_control_wrapper: test: " & integer'image(i) & " bad px" severity error;
                    assert order_px_o = output_patterns(i).order_px_o report "search_control_wrapper: test: " & integer'image(i) & " bad px" severity error;
                    assert order_qty_o = output_patterns(i).order_qty_o report "search_control_wrapper: test: " & integer'image(i) & " bad qty" severity error;
                    assert order_qty_o = output_patterns(i).order_qty_o report "search_control_wrapper: test: " & integer'image(i) & " bad qty" severity error;
                    assert order_sec_o = output_patterns(i).order_sec_o report "search_control_wrapper: test: " & integer'image(i) & " bad sec" severity error;
                    assert order_sec_o = output_patterns(i).order_sec_o report "search_control_wrapper: test: " & integer'image(i) & " bad sec" severity error;
                    assert order_id_o = output_patterns(i).order_id_o report "search_control_wrapper: test: " & integer'image(i) & " bad id" severity error;
                    assert order_id_o = output_patterns(i).order_id_o report "search_control_wrapper: test: " & integer'image(i) & " bad id" severity error;
                    res := res + 1;
                    res := res + 1;
                 end if;
                 end if;
                 --  Clock up
                 --  Clock down
                 RX_CLK <= '1';
 
                 wait for 1 us;
 
                 RX_CLK <= '0';
                 RX_CLK <= '0';
                 wait for 1 us;
                 wait for 1 us;
 
                 RX_CLK <= '1';
 
                 wait for 1 us;
              end loop;
              end loop;
              assert res = 1 report "search_control_wrapper: test: " & integer'image(i) & " wrong number of results from input pattern message" severity error;
              assert res = 1 report "search_control_wrapper: test: " & integer'image(i) & " wrong number of results from input pattern message" severity error;
 
 
              RX_CLK <= '1';
              RX_CLK <= '0';
              wait for 1 us;
              wait for 1 us;
           end loop;
           end loop;
 
 
        write (l, String'("Done search_control"));
        write (l, String'("Done search_control"));
        writeline (output, l);
        writeline (output, l);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.