OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [bench/] [vhdl/] [search_item_wrapper.vhd] - Diff between revs 17 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 17 Rev 18
Line 181... Line 181...
               (std_logic_vector'("11100"), std_logic_vector'("000"), test_px, remain_qty, test_sec, test_id) );  -- 7 incoming px (part qty)
               (std_logic_vector'("11100"), std_logic_vector'("000"), test_px, remain_qty, test_sec, test_id) );  -- 7 incoming px (part qty)
 
 
   begin
   begin
      write (l, String'("Exercising search_item"));
      write (l, String'("Exercising search_item"));
      writeline (output, l);
      writeline (output, l);
      RX_CLK <= '0';
      RX_CLK <= '1';
      wait for 1 ns;
      wait for 1 ns;
 
 
      --  Check each pattern.
      --  Check each pattern.
      for i in input_patterns'range loop
      for i in input_patterns'range loop
              --  Set the inputs.
              --  Set the inputs.
Line 194... Line 194...
              b1_buy_sell<= input_patterns(i).b1_buy_sell;
              b1_buy_sell<= input_patterns(i).b1_buy_sell;
              b1_px <= input_patterns(i).b1_px;
              b1_px <= input_patterns(i).b1_px;
              b1_qty <= input_patterns(i).b1_qty;
              b1_qty <= input_patterns(i).b1_qty;
              b1_sec <= input_patterns(i).b1_sec;
              b1_sec <= input_patterns(i).b1_sec;
              b1_id <= input_patterns(i).b1_id;
              b1_id <= input_patterns(i).b1_id;
              --  Clock once for the results.
              --  Clock once (falling edge) for the results.
              RX_CLK <= '1';
              RX_CLK <= '0';
              wait for 1 ns;
              wait for 1 ns;
              --  Check the outputs.
              --  Check the outputs.
              assert b2_px_type = output_patterns(i).b2_px_type report "search_item_wrapper: test: " & integer'image(i) & " bad px type" severity error;
              assert b2_px_type = output_patterns(i).b2_px_type report "search_item_wrapper: test: " & integer'image(i) & " bad px type" severity error;
              assert b2_buy_sell = output_patterns(i).b2_buy_sell report "search_item_wrapper: test: " & integer'image(i) & " bad buy_sell" severity error;
              assert b2_buy_sell = output_patterns(i).b2_buy_sell report "search_item_wrapper: test: " & integer'image(i) & " bad buy_sell" severity error;
              assert b2_px = output_patterns(i).b2_px report "search_item_wrapper: test: " & integer'image(i) & " bad px" severity error;
              assert b2_px = output_patterns(i).b2_px report "search_item_wrapper: test: " & integer'image(i) & " bad px" severity error;
              assert b2_qty = output_patterns(i).b2_qty report "search_item_wrapper: test: " & integer'image(i) & " bad qty" severity error;
              assert b2_qty = output_patterns(i).b2_qty report "search_item_wrapper: test: " & integer'image(i) & " bad qty" severity error;
              assert b2_sec = output_patterns(i).b2_sec report "search_item_wrapper: test: " & integer'image(i) & " bad sec" severity error;
              assert b2_sec = output_patterns(i).b2_sec report "search_item_wrapper: test: " & integer'image(i) & " bad sec" severity error;
              assert b2_id = output_patterns(i).b2_id report "search_item_wrapper: test: " & integer'image(i) & " bad id" severity error;
              assert b2_id = output_patterns(i).b2_id report "search_item_wrapper: test: " & integer'image(i) & " bad id" severity error;
              --  Clock down.
              --  Clock down.
              RX_CLK <= '0';
              RX_CLK <= '1';
              wait for 1 ns;
              wait for 1 ns;
      end loop;
      end loop;
      write (l, String'("Done search_item"));
      write (l, String'("Done search_item"));
      writeline (output, l);
      writeline (output, l);
      wait;
      wait;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.