OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [sim/] [rtl_sim/] [src/] [hitter_sim.vhd] - Diff between revs 20 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 21
Line 116... Line 116...
   --);
   --);
   --
   --
   flasher: process (RX_CLK) is
   flasher: process (RX_CLK) is
   begin
   begin
      if rising_edge(RX_CLK) then
      if rising_edge(RX_CLK) then
         if (pos < 4) then         -- ghdl flash
    --     if (pos < 4) then         -- ghdl flash
    --     if (pos < 62500000) then   -- 125Mhz timing / 0.5s
         if (pos < 62500000) then   -- 125Mhz timing / 0.5s
            pos <= pos + 1;
            pos <= pos + 1;
         else
         else
            alight <= not alight;
            alight <= not alight;
            pos <= 0;
            pos <= 0;
         end if;
         end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.