OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [pkgOpenCPU32.vhd] - Diff between revs 20 and 24

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 20 Rev 24
Line 4... Line 4...
--! @mainpage
--! @mainpage
--! <H1>Main document of the OpenCPU32 project</H1>\n
--! <H1>Main document of the OpenCPU32 project</H1>\n
--! <H2>Features</H2>
--! <H2>Features</H2>
 
 
--! Use standard library
--! Use standard library
library IEEE;
library ieee;
use IEEE.STD_LOGIC_1164.all;
use ieee.STD_LOGIC_1164.all;
 
use ieee.std_logic_unsigned.all;
 
use ieee.std_logic_arith.all;
 
 
package pkgOpenCPU32 is
package pkgOpenCPU32 is
 
 
--! Declare constants, enums, functions used by the design
--! Declare constants, enums, functions used by the design
constant nBits          : integer := 32;
constant nBits          : integer := 32;
 
constant instructionSize : integer := nBits;
 
 
--! Number of general registers (r0..r15)
--! Number of general registers (r0..r15)
constant numGenRegs : integer := 16;
constant numGenRegs : integer := 16;
 
 
type aluOps is (alu_pass, alu_passB, alu_sum, alu_sub, alu_inc, alu_dec, alu_mul, alu_or, alu_and,
type aluOps is (alu_pass, alu_passB, alu_sum, alu_sub, alu_inc, alu_dec, alu_mul, alu_or, alu_and,
        alu_xor, alu_not, alu_shfLt, alu_shfRt, alu_roLt, alu_roRt);
        alu_xor, alu_not, alu_shfLt, alu_shfRt, alu_roLt, alu_roRt);
type typeEnDis is (enable, disable);
type typeEnDis is (enable, disable);
type generalRegisters is (r0,r1,r2,r3,r4,r5,r6,r7,r8,r9,r10,r11,r12,r13,r14,r15);
type generalRegisters is (r0,r1,r2,r3,r4,r5,r6,r7,r8,r9,r10,r11,r12,r13,r14,r15);
type dpMuxInputs is (fromMemory, fromImediate, fromRegFileA, fromRegFileB, fromAlu);
type dpMuxInputs is (fromMemory, fromImediate, fromRegFileA, fromRegFileB, fromAlu);
 
type controlUnitStates is (initial, fetch, decode, execute, executing);
 
 
function reg2Num (a: generalRegisters) return integer;
function reg2Num (a: generalRegisters) return integer;
function Num2reg (a: integer) return generalRegisters;
function Num2reg (a: integer) return generalRegisters;
function muxPos( a: dpMuxInputs) return std_logic_vector;
function muxPos( a: dpMuxInputs) return std_logic_vector;
 
 
 
-- Opcodes
 
subtype opcodes is std_logic_vector(5 downto 0);
 
 
 
-- Each instruction will take 32 bits
 
-- Tutorial on using records.. (http://vhdlguru.blogspot.com.br/2010/02/arrays-and-records-in-vhdl.html)
 
type instructionType is record
 
        opcode : std_logic_vector(5 downto 0);
 
        reg1   : std_logic_vector(3 downto 0);
 
        reg2   : std_logic_vector(3 downto 0);
 
        imm    : std_logic_vector(15 downto 0); -- Max imediate value (16 bits)
 
end record;
 
 
 
 
 
-- Data movement
 
constant mov_reg  : opcodes := conv_std_logic_vector(0,6);        -- Move data between registers
 
constant mov_val  : opcodes := conv_std_logic_vector(1,6);   -- Move data from imediate value to a register
 
constant stom_reg : opcodes := conv_std_logic_vector(2,6);   -- Store a value in memory coming from a register
 
constant stom_val : opcodes := conv_std_logic_vector(3,6);   -- Store a value in memory coming from imediate
 
constant ld_reg   : opcodes := conv_std_logic_vector(4,6);   -- Load a value from memory into a register
 
constant ld_val   : opcodes := conv_std_logic_vector(5,6);   -- Load a value from memoru into another address in memory
 
 
 
-- Jump instructions
 
constant jmp_val  : opcodes := conv_std_logic_vector(6,6);       -- Jump (PC <= Val)
 
constant jmpr_val : opcodes := conv_std_logic_vector(7,6);   -- Jump relative (PC <= PC + Val)
 
constant jz_val   : opcodes := conv_std_logic_vector(8,6);   -- Jump if zero
 
constant jzr_val  : opcodes := conv_std_logic_vector(9,6);   -- Jump if zero relative
 
constant jnz_val  : opcodes := conv_std_logic_vector(10,6);  -- Jump if not zero
 
constant jnzr_val : opcodes := conv_std_logic_vector(11,6);  -- Jump if not zero relative
 
constant call_reg : opcodes := conv_std_logic_vector(12,6);  -- Jump to address (Save return value on the stack
 
constant ret_reg  : opcodes := conv_std_logic_vector(13,6);  -- Pop return value from the stack and jump to it
 
 
 
-- Logical instructions
 
constant and_reg  : opcodes := conv_std_logic_vector(14,6);  -- And between to registers
 
constant and_val  : opcodes := conv_std_logic_vector(15,6);  -- And between register and imediate
 
constant or_reg   : opcodes := conv_std_logic_vector(16,6);  -- Or between to registers
 
constant or_val   : opcodes := conv_std_logic_vector(17,6);  -- Or between register and imediate
 
constant xor_reg  : opcodes := conv_std_logic_vector(18,6);  -- Xor between to registers
 
constant xor_val  : opcodes := conv_std_logic_vector(19,6);  -- Xor between register and imediate
 
constant not_reg  : opcodes := conv_std_logic_vector(20,6);  -- Not on register
 
constant shl_reg  : opcodes := conv_std_logic_vector(21,6);  -- Shift left register (one shift)
 
constant shr_reg  : opcodes := conv_std_logic_vector(22,6);  -- Shift right register (one shift)
 
constant rol_reg  : opcodes := conv_std_logic_vector(23,6);  -- Rotate left register (one rotation)
 
constant ror_reg  : opcodes := conv_std_logic_vector(24,6);  -- Rotate right register (one rotation)
 
constant sbit_reg : opcodes := conv_std_logic_vector(25,6);  -- Set bit pointed by register
 
constant cbit_reg : opcodes := conv_std_logic_vector(26,6);  -- Clear bit pointed by register
 
 
 
-- Math operations instructions (unsigned)
 
constant add_reg  : opcodes := conv_std_logic_vector(27,6);  -- Add to registers
 
constant add_val  : opcodes := conv_std_logic_vector(28,6);  -- Add register and a imediate value
 
constant sub_reg  : opcodes := conv_std_logic_vector(29,6);  -- Subtract to registers
 
constant sub_val  : opcodes := conv_std_logic_vector(30,6);  -- Subtract register and a imediate value
 
constant inc_reg  : opcodes := conv_std_logic_vector(31,6);  -- Increment register
 
constant dec_reg  : opcodes := conv_std_logic_vector(32,6);  -- Decrement register
 
 
 
-- Control opcodes
 
constant nop      : opcodes := conv_std_logic_vector(31,6);  -- Nop...
 
constant halt     : opcodes := conv_std_logic_vector(32,6);  -- Halt processor
 
 
end pkgOpenCPU32;
end pkgOpenCPU32;
 
 
--! Define functions or procedures
--! Define functions or procedures
package body pkgOpenCPU32 is
package body pkgOpenCPU32 is
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.