OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testDataPath.vhd] - Diff between revs 27 and 29

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 27 Rev 29
Line 33... Line 33...
           regFileReadAddrA : in  generalRegisters;                                     --! General register read address (PortA)
           regFileReadAddrA : in  generalRegisters;                                     --! General register read address (PortA)
           regFileReadAddrB : in  generalRegisters;                                     --! General register read address (PortB)
           regFileReadAddrB : in  generalRegisters;                                     --! General register read address (PortB)
           regFileEnA : in  STD_LOGIC;                                                                          --! Enable RegisterFile PortA
           regFileEnA : in  STD_LOGIC;                                                                          --! Enable RegisterFile PortA
           regFileEnB : in  STD_LOGIC;                                                                          --! Enable RegisterFile PortB
           regFileEnB : in  STD_LOGIC;                                                                          --! Enable RegisterFile PortB
                          outputDp : out  STD_LOGIC_VECTOR (n downto 0);                 --! DataPath Output
                          outputDp : out  STD_LOGIC_VECTOR (n downto 0);                 --! DataPath Output
           dpFlags : out  STD_LOGIC_VECTOR (n downto 0));                        --! Alu Flags
           dpFlags : out  STD_LOGIC_VECTOR (2 downto 0));                        --! Alu Flags
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal inputMm : std_logic_vector(31 downto 0) := (others => 'U');    --! Wire to connect Test signal to component
   signal inputMm : std_logic_vector(31 downto 0) := (others => 'U');    --! Wire to connect Test signal to component
Line 54... Line 54...
   signal regFileEnA : std_logic := '0';                                                                                 --! Wire to connect Test signal to component
   signal regFileEnA : std_logic := '0';                                                                                 --! Wire to connect Test signal to component
   signal regFileEnB : std_logic := '0';                                                                                 --! Wire to connect Test signal to component
   signal regFileEnB : std_logic := '0';                                                                                 --! Wire to connect Test signal to component
 
 
        --Outputs
        --Outputs
   signal outputDp : std_logic_vector(31 downto 0);                                                      --! Wire to connect Test signal to component
   signal outputDp : std_logic_vector(31 downto 0);                                                      --! Wire to connect Test signal to component
   signal dpFlags : std_logic_vector(31 downto 0);                                                               --! Wire to connect Test signal to component
   signal dpFlags : std_logic_vector(2 downto 0);                                                                --! Wire to connect Test signal to component
 
 
        -- Clock period definitions
        -- Clock period definitions
   constant CLK_period : time := 10 ns;
   constant CLK_period : time := 10 ns;
 
 
BEGIN
BEGIN

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.