OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testOpenCpu.vhd] - Diff between revs 45 and 48

Show entire file | Details | Blame | View Log

Rev 45 Rev 48
Line 109... Line 109...
 
 
                        wait until mem_rd = '0';
                        wait until mem_rd = '0';
                end loop;
                end loop;
 
 
                wait until mem_rd = '0';
                wait until mem_rd = '0';
 
                wait for CLK_period;    -- Execute
 
                wait for CLK_period;    -- Execute
 
                wait for CLK_period;    -- Execute
 
                wait for CLK_period;    -- Execute
 
 
      -- Finish simulation
      -- Finish simulation
                assert false report "NONE. End of simulation." severity failure;
                assert false report "NONE. End of simulation." severity failure;
                wait;
                wait;
   end process;
   end process;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.