OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [src/] [soc_ram.v] - Diff between revs 2 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 21
Line 30... Line 30...
  // Read returns NEW data at addr if we == 1'b1. This is the
  // Read returns NEW data at addr if we == 1'b1. This is the
  // natural behavior of TriMatrix memory blocks in Single Port
  // natural behavior of TriMatrix memory blocks in Single Port
  // mode
  // mode
  assign q = ram[addr_reg];
  assign q = ram[addr_reg];
 
 
        generate
//      generate 
                if( MEM_INIT != 0 )
//              if( MEM_INIT != 0 )
                  initial
//                initial
                    $readmemh( MEM_INIT, ram );
//                  $readmemh( MEM_INIT, ram );
        endgenerate
//      endgenerate                 
 
 
endmodule
endmodule
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.