OpenCores
URL https://opencores.org/ocsvn/ourisc/ourisc/trunk

Subversion Repositories ourisc

[/] [ourisc/] [trunk/] [rtl/] [packages/] [opcodes.vhd] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 5... Line 5...
--               constants, and functions 
--               constants, and functions 
--
--
--   To use any of the example code shown below, uncomment the lines and modify as necessary
--   To use any of the example code shown below, uncomment the lines and modify as necessary
--
--
 
 
library IEEE;
library ieee;
use IEEE.STD_LOGIC_1164.all;
use ieee.std_logic_1164.all;
 
 
package Operations is
package Operations is
        constant add:            std_logic_vector (4 downto 0) := "00000";
        constant add:            std_logic_vector (4 downto 0) := "00000";
        constant addinc:         std_logic_vector (4 downto 0) := "00001";
        constant addinc:         std_logic_vector (4 downto 0) := "00001";
        constant inca:           std_logic_vector (4 downto 0) := "00011";
        constant inca:           std_logic_vector (4 downto 0) := "00011";

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.