OpenCores
URL https://opencores.org/ocsvn/pcie_ds_dma/pcie_ds_dma/trunk

Subversion Repositories pcie_ds_dma

[/] [pcie_ds_dma/] [trunk/] [projects/] [sp605_lx45t_wishbone/] [sp605_lx45t_wishbone.adf] - Diff between revs 51 and 53

Show entire file | Details | Blame | View Log

Rev 51 Rev 53
Line 41... Line 41...
HESPrepare=0
HESPrepare=0
EnableXtrace=0
EnableXtrace=0
SplitNetVectors=0
SplitNetVectors=0
StackMemorySize=32
StackMemorySize=32
RetvalMemorySize=32
RetvalMemorySize=32
VsimAdditionalOptions=-relax
VsimAdditionalOptions=-relax -g test_id=2
ReportAssertionsActivations=0
ReportAssertionsActivations=0
TrackAssertionFailures=1
TrackAssertionFailures=1
ReportAssertionsFailures=1
ReportAssertionsFailures=1
AssertionFailureLimit=0
AssertionFailureLimit=0
AssertionFailureAction=Continue
AssertionFailureAction=Continue
Line 73... Line 73...
TIMING_SIMULATION_STATUS=
TIMING_SIMULATION_STATUS=
FUNC_LIB=sp605_lx45t_wishbone
FUNC_LIB=sp605_lx45t_wishbone
POST_LIB=sp605_lx45t_wishbone_post_synthesis
POST_LIB=sp605_lx45t_wishbone_post_synthesis
RUN_MODE_IMPL=0
RUN_MODE_IMPL=0
LAST_IMPL_STATUS=warnings
LAST_IMPL_STATUS=warnings
 
resolution=Auto
 
 
[LocalVerilogSets]
[LocalVerilogSets]
EnableSLP=1
EnableSLP=1
EnableDebug=1
EnableDebug=1
VerilogLanguage=4
VerilogLanguage=4
Line 135... Line 136...
impl_opt(use_partitions_in_flow)=0
impl_opt(use_partitions_in_flow)=0
impl_opt(partitions_file)=synthesis\xpartition.pxml
impl_opt(partitions_file)=synthesis\xpartition.pxml
 
 
[HierarchyViewer]
[HierarchyViewer]
SortInfo=u
SortInfo=u
HierarchyInformation=stend_sp605_wishbone|stend_sp605_wishbone|0
HierarchyInformation=pcie_core64_m6|pcie_core64_m6|0 stend_sp605_wishbone|stend_sp605_wishbone|0
ShowHide=ShowTopLevel
ShowHide=ShowTopLevel
Selected=
Selected=
 
 
[DefineMacro]
[DefineMacro]
Global=
Global=
Line 491... Line 492...
 
 
[Groups]
[Groups]
pcie_src=1
pcie_src=1
pcie_src\components=1
pcie_src\components=1
pcie_src\components\block_main=1
pcie_src\components\block_main=1
pcie_src\components\coregen=1
 
pcie_src\components\pcie_core=1
pcie_src\components\pcie_core=1
pcie_src\components\rtl=1
pcie_src\components\rtl=1
pcie_src\pcie_core64_m1=1
pcie_src\pcie_core64_m1=1
pcie_src\pcie_core64_m1\pcie_ctrl=1
pcie_src\pcie_core64_m1\pcie_ctrl=1
pcie_src\pcie_core64_m1\pcie_fifo_ext=1
pcie_src\pcie_core64_m1\pcie_fifo_ext=1
Line 519... Line 519...
wishbone\block_test_check=1
wishbone\block_test_check=1
wishbone\block_test_generate=1
wishbone\block_test_generate=1
wishbone\cross=1
wishbone\cross=1
wishbone\doc=1
wishbone\doc=1
wishbone\coregen=1
wishbone\coregen=1
wishbone\testbecnh=1
wishbone\testbecnh=0
wishbone\testbecnh\dev_pb_wishbone_ctrl=1
wishbone\testbecnh\dev_pb_wishbone_ctrl=1
wishbone\testbecnh\dev_pb_wishbone_ctrl\sim=1
wishbone\testbecnh\dev_pb_wishbone_ctrl\sim=1
wishbone\testbecnh\dev_pb_wishbone_ctrl\sim\zz_do=1
wishbone\testbecnh\dev_pb_wishbone_ctrl\sim\zz_do=1
wishbone\testbecnh\dev_test_check=1
wishbone\testbecnh\dev_test_check=1
wishbone\testbecnh\dev_test_check\sim=1
wishbone\testbecnh\dev_test_check\sim=1
Line 532... Line 532...
wishbone\testbecnh\dev_test_gen\sim=1
wishbone\testbecnh\dev_test_gen\sim=1
wishbone\testbecnh\dev_test_gen\sim\zz_do=1
wishbone\testbecnh\dev_test_gen\sim\zz_do=1
wishbone\testbecnh\dev_wb_cross=1
wishbone\testbecnh\dev_wb_cross=1
wishbone\testbecnh\dev_wb_cross\sim=1
wishbone\testbecnh\dev_wb_cross\sim=1
wishbone\testbecnh\dev_wb_cross\sim\zz_do=1
wishbone\testbecnh\dev_wb_cross\sim\zz_do=1
post-synthesis=1
post-synthesis=0
DESIGN_STATUS=1
DESIGN_STATUS=1
DESIGN_STATUS\2013_07_26_01_18=1
DESIGN_STATUS\2013_07_26_01_18=1
DESIGN_STATUS\2013_08_02_00_11=1
DESIGN_STATUS\2013_08_02_00_11=1
 
wishbone\doc\en=1
 
wishbone\doc\ru=1
 
pcie_src\components\coregen_s6=1
 
 
[Files]
[Files]
pcie_src\components\block_main/block_pe_main.vhd=-1
pcie_src\components\block_main/block_pe_main.vhd=-1
pcie_src\components\coregen/ctrl_fifo64x34fw.ngc=-1
 
pcie_src\components\coregen/ctrl_fifo64x34fw.vhd=-1
 
pcie_src\components\coregen/ctrl_fifo64x34fw.xco=-1
 
pcie_src\components\coregen/ctrl_fifo64x37st.ngc=-1
 
pcie_src\components\coregen/ctrl_fifo64x37st.vhd=-1
 
pcie_src\components\coregen/ctrl_fifo64x37st.xco=-1
 
pcie_src\components\coregen/ctrl_fifo64x67fw.ngc=-1
 
pcie_src\components\coregen/ctrl_fifo64x67fw.vhd=-1
 
pcie_src\components\coregen/ctrl_fifo64x67fw.xco=-1
 
pcie_src\components\coregen/ctrl_fifo64x70st.ngc=-1
 
pcie_src\components\coregen/ctrl_fifo64x70st.vhd=-1
 
pcie_src\components\coregen/ctrl_fifo64x70st.xco=-1
 
pcie_src\components\coregen/ctrl_fifo512x64st_v0.ngc=-1
 
pcie_src\components\coregen/ctrl_fifo512x64st_v0.vhd=-1
 
pcie_src\components\coregen/ctrl_fifo512x64st_v0.xco=-1
 
pcie_src\components\coregen/read.me=-1
 
pcie_src\components\pcie_core/pcie_core64_m2.vhd=-1
pcie_src\components\pcie_core/pcie_core64_m2.vhd=-1
pcie_src\components\pcie_core/pcie_core64_m5.vhd=-1
pcie_src\components\pcie_core/pcie_core64_m5.vhd=-1
pcie_src\components\pcie_core/pcie_core64_m7.vhd=-1
pcie_src\components\pcie_core/pcie_core64_m7.vhd=-1
pcie_src\components\pcie_core/pcie_core64_wishbone.vhd=-1
pcie_src\components\pcie_core/pcie_core64_wishbone.vhd=-1
pcie_src\components\pcie_core/pcie_core64_wishbone_m8.vhd=-1
pcie_src\components\pcie_core/pcie_core64_wishbone_m8.vhd=-1
pcie_src\components\rtl/host_pkg.vhd=-1
pcie_src\components\rtl/host_pkg.vhd=-1
pcie_src\components\rtl/core64_pb_transaction.vhd=-1
pcie_src\components\rtl/core64_pb_transaction.vhd=-1
pcie_src\components\rtl/ctrl_ram16_v1.vhd=-1
pcie_src\components\rtl/ctrl_ram16_v1.vhd=-1
pcie_src\components\rtl/core64_pb_wishbone.vhd=-1
pcie_src\components\rtl/core64_pb_wishbone.vhd=-1
pcie_src\components\rtl/core64_pb_wishbone_ctrl.v=-1
pcie_src\components\rtl/core64_pb_wishbone_ctrl.v=-1
 
pcie_src\components\coregen_s6/ctrl_fifo512x64st_v0.ngc=-1
 
pcie_src\components\coregen_s6/ctrl_fifo512x64st_v0.vhd=-1
 
pcie_src\components\coregen_s6/ctrl_fifo512x64st_v0.xco=-1
 
pcie_src\components\coregen_s6/ctrl_fifo64x34fw.ngc=-1
 
pcie_src\components\coregen_s6/ctrl_fifo64x34fw.vhd=-1
 
pcie_src\components\coregen_s6/ctrl_fifo64x34fw.xco=-1
 
pcie_src\components\coregen_s6/ctrl_fifo64x37st.ngc=-1
 
pcie_src\components\coregen_s6/ctrl_fifo64x37st.vhd=-1
 
pcie_src\components\coregen_s6/ctrl_fifo64x37st.xco=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_type_pkg.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_type_pkg.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_interrupt.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_interrupt.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_pb_disp.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_pb_disp.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_reg_access.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_reg_access.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_rx_engine.vhd=-1
pcie_src\pcie_core64_m1\pcie_ctrl/core64_rx_engine.vhd=-1
Line 732... Line 728...
wishbone\cross/wb_conmax_pri_enc.v=-1
wishbone\cross/wb_conmax_pri_enc.v=-1
wishbone\cross/wb_conmax_rf.v=-1
wishbone\cross/wb_conmax_rf.v=-1
wishbone\cross/wb_conmax_slave_if.v=-1
wishbone\cross/wb_conmax_slave_if.v=-1
wishbone\cross/wb_conmax_top.v=-1
wishbone\cross/wb_conmax_top.v=-1
wishbone\cross/wb_conmax_top_pkg.vhd=-1
wishbone\cross/wb_conmax_top_pkg.vhd=-1
wishbone\doc/block_test_generate.htm=-1
wishbone\doc\en/block_test_check_en.htm=-1
wishbone\doc/style.css=-1
wishbone\doc\en/block_test_generate_en.htm=-1
wishbone\doc/block_test_check.htm=-1
wishbone\doc\en/style.css=-1
wishbone\doc/wishbonbe_test.htm=-1
wishbone\doc\en/wishbone_test_en.htm=-1
 
wishbone\doc\ru/block_test_check.htm=-1
 
wishbone\doc\ru/block_test_generate.htm=-1
 
wishbone\doc\ru/style.css=-1
 
wishbone\doc\ru/wishbonbe_test.htm=-1
wishbone\coregen/ctrl_fifo1024x64_st_v1.ngc=-1
wishbone\coregen/ctrl_fifo1024x64_st_v1.ngc=-1
wishbone\coregen/ctrl_fifo1024x64_st_v1.vhd=-1
wishbone\coregen/ctrl_fifo1024x64_st_v1.vhd=-1
wishbone\coregen/ctrl_fifo1024x64_st_v1.xco=-1
wishbone\coregen/ctrl_fifo1024x64_st_v1.xco=-1
wishbone\testbecnh\dev_pb_wishbone_ctrl/SciTE.session=-1
wishbone\testbecnh\dev_pb_wishbone_ctrl/SciTE.session=-1
wishbone\testbecnh\dev_pb_wishbone_ctrl\sim/delete.bat=-1
wishbone\testbecnh\dev_pb_wishbone_ctrl\sim/delete.bat=-1
Line 792... Line 792...
DESIGN_STATUS\2013_08_02_00_11/synthesis_synthesis.dfml=-1
DESIGN_STATUS\2013_08_02_00_11/synthesis_synthesis.dfml=-1
DESIGN_STATUS\2013_08_02_00_11/implement_ver1_rev1_implementation.dfml=-1
DESIGN_STATUS\2013_08_02_00_11/implement_ver1_rev1_implementation.dfml=-1
 
 
[Files.Data]
[Files.Data]
.\src\pcie_src\components\block_main\block_pe_main.vhd=VHDL Source Code
.\src\pcie_src\components\block_main\block_pe_main.vhd=VHDL Source Code
.\src\pcie_src\components\coregen\ctrl_fifo64x34fw.ngc=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo64x34fw.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen\ctrl_fifo64x34fw.xco=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo64x37st.ngc=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo64x37st.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen\ctrl_fifo64x37st.xco=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo64x67fw.ngc=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo64x67fw.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen\ctrl_fifo64x67fw.xco=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo64x70st.ngc=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo64x70st.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen\ctrl_fifo64x70st.xco=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo512x64st_v0.ngc=External File
 
.\src\pcie_src\components\coregen\ctrl_fifo512x64st_v0.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen\ctrl_fifo512x64st_v0.xco=External File
 
.\src\pcie_src\components\coregen\read.me=External File
 
.\src\pcie_src\components\pcie_core\pcie_core64_m2.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_m2.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_m5.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_m5.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_m7.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_m7.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_wishbone.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_wishbone.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_wishbone_m8.vhd=VHDL Source Code
.\src\pcie_src\components\pcie_core\pcie_core64_wishbone_m8.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\host_pkg.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\host_pkg.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\core64_pb_transaction.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\core64_pb_transaction.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\ctrl_ram16_v1.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\ctrl_ram16_v1.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\core64_pb_wishbone.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\core64_pb_wishbone.vhd=VHDL Source Code
.\src\pcie_src\components\rtl\core64_pb_wishbone_ctrl.v=Verilog Source Code
.\src\pcie_src\components\rtl\core64_pb_wishbone_ctrl.v=Verilog Source Code
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo512x64st_v0.ngc=External File
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo512x64st_v0.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo512x64st_v0.xco=External File
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo64x34fw.ngc=External File
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo64x34fw.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo64x34fw.xco=External File
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo64x37st.ngc=External File
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo64x37st.vhd=VHDL Source Code
 
.\src\pcie_src\components\coregen_s6\ctrl_fifo64x37st.xco=External File
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_type_pkg.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_type_pkg.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_interrupt.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_interrupt.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_pb_disp.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_pb_disp.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_reg_access.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_reg_access.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_rx_engine.vhd=VHDL Source Code
.\src\pcie_src\pcie_core64_m1\pcie_ctrl\core64_rx_engine.vhd=VHDL Source Code
Line 985... Line 978...
.\src\wishbone\cross\wb_conmax_pri_enc.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_pri_enc.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_rf.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_rf.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_slave_if.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_slave_if.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_top.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_top.v=Verilog Source Code
.\src\wishbone\cross\wb_conmax_top_pkg.vhd=VHDL Source Code
.\src\wishbone\cross\wb_conmax_top_pkg.vhd=VHDL Source Code
.\src\wishbone\doc\block_test_generate.htm=HTML Document
.\src\wishbone\doc\en\block_test_check_en.htm=HTML Document
.\src\wishbone\doc\style.css=External File
.\src\wishbone\doc\en\block_test_generate_en.htm=HTML Document
.\src\wishbone\doc\block_test_check.htm=HTML Document
.\src\wishbone\doc\en\style.css=External File
.\src\wishbone\doc\wishbonbe_test.htm=HTML Document
.\src\wishbone\doc\en\wishbone_test_en.htm=HTML Document
 
.\src\wishbone\doc\ru\block_test_check.htm=HTML Document
 
.\src\wishbone\doc\ru\block_test_generate.htm=HTML Document
 
.\src\wishbone\doc\ru\style.css=External File
 
.\src\wishbone\doc\ru\wishbonbe_test.htm=HTML Document
.\src\wishbone\coregen\ctrl_fifo1024x64_st_v1.ngc=External File
.\src\wishbone\coregen\ctrl_fifo1024x64_st_v1.ngc=External File
.\src\wishbone\coregen\ctrl_fifo1024x64_st_v1.vhd=VHDL Source Code
.\src\wishbone\coregen\ctrl_fifo1024x64_st_v1.vhd=VHDL Source Code
.\src\wishbone\coregen\ctrl_fifo1024x64_st_v1.xco=External File
.\src\wishbone\coregen\ctrl_fifo1024x64_st_v1.xco=External File
.\src\wishbone\testbecnh\dev_pb_wishbone_ctrl\SciTE.session=External File
.\src\wishbone\testbecnh\dev_pb_wishbone_ctrl\SciTE.session=External File
.\src\wishbone\testbecnh\dev_pb_wishbone_ctrl\sim\delete.bat=External File
.\src\wishbone\testbecnh\dev_pb_wishbone_ctrl\sim\delete.bat=External File
Line 1043... Line 1040...
.\src\DESIGN_STATUS\2013_08_02_00_11\DesignFiles.txt=Text File
.\src\DESIGN_STATUS\2013_08_02_00_11\DesignFiles.txt=Text File
.\src\DESIGN_STATUS\2013_08_02_00_11\LibrariesList.txt=Text File
.\src\DESIGN_STATUS\2013_08_02_00_11\LibrariesList.txt=Text File
.\src\DESIGN_STATUS\2013_08_02_00_11\synthesis_synthesis.dfml=Text File
.\src\DESIGN_STATUS\2013_08_02_00_11\synthesis_synthesis.dfml=Text File
.\src\DESIGN_STATUS\2013_08_02_00_11\implement_ver1_rev1_implementation.dfml=Text File
.\src\DESIGN_STATUS\2013_08_02_00_11\implement_ver1_rev1_implementation.dfml=Text File
 
 
 
[SpecTracer]
 
WindowVisible=0

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.