OpenCores
URL https://opencores.org/ocsvn/pcie_sg_dma/pcie_sg_dma/trunk

Subversion Repositories pcie_sg_dma

[/] [pcie_sg_dma/] [trunk/] [rtl/] [FIFO_Wrapper.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 2... Line 2...
-- Company:  ziti, Uni. HD
-- Company:  ziti, Uni. HD
-- Engineer:  wgao
-- Engineer:  wgao
-- 
-- 
-- Create Date:    16:37:22 12 Feb 2009
-- Create Date:    16:37:22 12 Feb 2009
-- Design Name: 
-- Design Name: 
-- Module Name:    eb_wrapper - Behavioral 
-- Module Name:    FIFO_wrapper - Behavioral 
-- Project Name: 
-- Project Name: 
-- Target Devices: 
-- Target Devices: 
-- Tool versions: 
-- Tool versions: 
-- Description: 
-- Description: 
--
--
Line 28... Line 28...
---- Uncomment the following library declaration if instantiating
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
---- any Xilinx primitives in this code.
--library UNISIM;
--library UNISIM;
--use UNISIM.VComponents.all;
--use UNISIM.VComponents.all;
 
 
entity eb_wrapper is
entity FIFO_wrapper is
    Generic (
    Generic (
             C_ASYNFIFO_WIDTH  :  integer  :=  72
             C_ASYNFIFO_WIDTH  :  integer  :=  72
            );
            );
    Port (
    Port (
          wr_clk      : IN  std_logic;
          wr_clk      : IN  std_logic;
Line 48... Line 48...
          empty       : OUT std_logic;
          empty       : OUT std_logic;
 
 
          data_count  : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
          data_count  : OUT std_logic_VECTOR(C_EMU_FIFO_DC_WIDTH-1 downto 0);
          rst         : IN  std_logic
          rst         : IN  std_logic
          );
          );
end entity eb_wrapper;
end entity FIFO_wrapper;
 
 
 
 
architecture Behavioral of eb_wrapper is
architecture Behavioral of FIFO_wrapper is
 
 
  ---  16384 x 72
  ---  16384 x 72
  component eb_fifo
  component eb_fifo
    port (
    port (
      wr_clk      : IN  std_logic;
      wr_clk      : IN  std_logic;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.