Line 143... |
Line 143... |
signal eb_FIFO_RdEn_Mask_rise_r3 : std_logic;
|
signal eb_FIFO_RdEn_Mask_rise_r3 : std_logic;
|
signal eb_FIFO_RdEn_Mask : std_logic;
|
signal eb_FIFO_RdEn_Mask : std_logic;
|
signal eb_FIFO_RdEn_Mask_r1 : std_logic;
|
signal eb_FIFO_RdEn_Mask_r1 : std_logic;
|
signal eb_FIFO_RdEn_Mask_r2 : std_logic;
|
signal eb_FIFO_RdEn_Mask_r2 : std_logic;
|
signal ebFIFO_Rd_1DW : std_logic;
|
signal ebFIFO_Rd_1DW : std_logic;
|
|
signal ebFIFO_Rd_1DW_r1 : std_logic;
|
signal eb_FIFO_qout_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
|
signal eb_FIFO_qout_r1 : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
|
signal eb_FIFO_qout_shift : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
|
signal eb_FIFO_qout_shift : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
|
signal eb_FIFO_qout_swapped : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
|
signal eb_FIFO_qout_swapped : std_logic_vector(C_DBUS_WIDTH-1 downto 0);
|
|
|
-- Memory data outputs
|
-- Memory data outputs
|
Line 740... |
Line 741... |
process ( trn_clk )
|
process ( trn_clk )
|
begin
|
begin
|
if trn_clk'event and trn_clk = '1' then
|
if trn_clk'event and trn_clk = '1' then
|
mbuf_WE_i <= DDR_FIFO_Write_mbuf_r1
|
mbuf_WE_i <= DDR_FIFO_Write_mbuf_r1
|
or Regs_Write_mbuf_r2
|
or Regs_Write_mbuf_r2
|
or (eb_FIFO_Write_mbuf_r1 or (Shift_1st_QWord_k and eb_FIFO_RdEn_Mask_rise_r1))
|
or (eb_FIFO_Write_mbuf_r1 or (Shift_1st_QWord_k
|
|
and eb_FIFO_RdEn_Mask_rise_r1 and not ebFIFO_Rd_1DW_r1))
|
;
|
;
|
end if;
|
end if;
|
end process;
|
end process;
|
|
|
|
|
Line 777... |
Line 779... |
--
|
--
|
Synchron_Delay_eb_FIFO_qout:
|
Synchron_Delay_eb_FIFO_qout:
|
process ( trn_clk )
|
process ( trn_clk )
|
begin
|
begin
|
if trn_clk'event and trn_clk = '1' then
|
if trn_clk'event and trn_clk = '1' then
|
|
ebFIFO_Rd_1DW_r1 <= ebFIFO_Rd_1DW;
|
eb_FIFO_RdEn_Mask_rise <= eb_FIFO_RdEn_Mask and not eb_FIFO_RdEn_Mask_r1;
|
eb_FIFO_RdEn_Mask_rise <= eb_FIFO_RdEn_Mask and not eb_FIFO_RdEn_Mask_r1;
|
eb_FIFO_RdEn_Mask_rise_r1 <= eb_FIFO_RdEn_Mask_rise;
|
eb_FIFO_RdEn_Mask_rise_r1 <= eb_FIFO_RdEn_Mask_rise;
|
eb_FIFO_RdEn_Mask_rise_r2 <= eb_FIFO_RdEn_Mask_rise_r1;
|
eb_FIFO_RdEn_Mask_rise_r2 <= eb_FIFO_RdEn_Mask_rise_r1;
|
eb_FIFO_qout_r1 <= eb_FIFO_qout_swapped;
|
eb_FIFO_qout_r1 <= eb_FIFO_qout_swapped;
|
eb_FIFO_qout_shift <= eb_FIFO_qout_r1(C_DBUS_WIDTH/2-1 downto 0)
|
eb_FIFO_qout_shift <= eb_FIFO_qout_r1(C_DBUS_WIDTH/2-1 downto 0)
|