OpenCores
URL https://opencores.org/ocsvn/potato/potato/trunk

Subversion Repositories potato

[/] [potato/] [trunk/] [src/] [pp_execute.vhd] - Diff between revs 35 and 45

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 35 Rev 45
Line 195... Line 195...
                or to_std_logic(branch = BRANCH_SRET)) and not stall;
                or to_std_logic(branch = BRANCH_SRET)) and not stall;
        jump_out <= do_jump;
        jump_out <= do_jump;
        jump_target_out <= jump_target;
        jump_target_out <= jump_target;
 
 
        evec_out <= evec_forwarded;
        evec_out <= evec_forwarded;
        exception_taken <= decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE) or irq_asserted;
        exception_taken <= (decode_exception or to_std_logic(exception_cause /= CSR_CAUSE_NONE) or irq_asserted) and not stall;
 
 
        irq_asserted <= (not stall) and to_std_logic(exception_context_forwarded.status.ei = '1' and
        irq_asserted <= to_std_logic(exception_context_forwarded.status.ei = '1' and
                (irq and exception_context_forwarded.status.im) /= x"00");
                (irq and exception_context_forwarded.status.im) /= x"00");
 
 
        rs1_data <= rs1_data_in;
        rs1_data <= rs1_data_in;
        rs2_data <= rs2_data_in;
        rs2_data <= rs2_data_in;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.