OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [32BitIO/] [sim/] [rtl_sim/] [bin/] [input.txt] - Diff between revs 5 and 9

Show entire file | Details | Blame | View Log

Rev 5 Rev 9
Line 1... Line 1...
 
Test stage 1
 
No operation
0
0
 
Read key 1/3
2
2
1
1
 
Read key 2/3
3
3
1
1
 
Read key 3/3
4
4
1
1
 
Read txt 1/2
7
7
1
1
 
Read txt 2/2
8
8
1
1
 
Coding input
6
6
 
Ciph txt 1/2
9
9
 
213210D2
 
Ciph txt 2/2
A
A
 
3333DCD3
 
Test stage 2
 
No operation
0
0
 
Read key 1/3
2
2
0
0
 
Read key 2/3
3
3
0
0
 
Read key 3/3
4
4
0
0
 
Read txt 1/2
7
7
0
0
 
Read txt 2/2
8
8
0
0
 
Coding input
6
6
 
Ciph txt 1/2
9
9
 
7B228445
 
Ciph txt 2/2
A
A
 
5579C138
 
Test stage 3
 
No operation
0
0
 
Read key 1/3
2
2
0
0
 
Read key 2/3
3
3
0
0
 
Read key 3/3
4
4
0
0
 
Read txt 1/2
7
7
1
1
 
Read txt 2/2
8
8
1
1
 
Coding input
6
6
 
Ciph txt 1/2
9
9
 
2F68417B
 
Ciph txt 2/2
A
A
 
A112FFC7
 
Test stage 4
 
No operation
0
0
 
Read key 1/3
2
2
1
1
 
Read key 2/3
3
3
1
1
 
Read key 3/3
4
4
1
1
 
Read txt 1/2
7
7
0
0
 
Read txt 2/2
8
8
0
0
 
Coding input
6
6
 
Ciph txt 1/2
9
9
 
F5945049
 
Ciph txt 2/2
A
A
 No newline at end of file
 No newline at end of file
 
E72C46C0
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.