OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [DecodeTesting/] [rtl/] [vhdl/] [PresentDecodeCommSM.vhd] - Diff between revs 4 and 20

Show entire file | Details | Blame | View Log

Rev 4 Rev 20
Line 387... Line 387...
                        end case;
                        end case;
                end process SM;
                end process SM;
 
 
        state_modifier : process (clk, reset)
        state_modifier : process (clk, reset)
                begin
                begin
                        if (clk = '1' and clk'Event) then
 
                                if (reset = '1') then
                                if (reset = '1') then
                                        state <= NOP;
                                        state <= NOP;
                                else
                        elsif (clk = '1' and clk'Event) then
                                        state <= next_state;
                                        state <= next_state;
                                end if;
                                end if;
                        end if;
 
                end process state_modifier;
                end process state_modifier;
 
 
    -- counter for controling number of bytes of readed data
    -- counter for controling number of bytes of readed data
        dataCounter : counter
        dataCounter : counter
                generic map(
                generic map(

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.