OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [Pure/] [bench/] [vhdl/] [sLayerTB.vhd] - Diff between revs 4 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 4 Rev 12
Line 96... Line 96...
      -- hold reset state for 100ms.
      -- hold reset state for 100ms.
                reset <= '1';
                reset <= '1';
      wait for 100ns;
      wait for 100ns;
                reset <= '0';
                reset <= '0';
      wait for clk_period;
      wait for clk_period;
 
 
 
---- Preparation for test case 1 -----------------
 
--   inpput <= x"0";
 
--   expected_output <= x"";
 
--------------------------------------------------
 
 
                input <= x"0";
                input <= x"0";
      wait for clk_period;
      wait for clk_period;
 
 
 
                if output /= x"C" then
 
                        report "RESULT MISMATCH! Test case 1 failed" severity ERROR;
 
                        assert false severity failure;
 
                else
 
                        report "Test case 1 successful" severity note;
 
                end if;
 
 
 
---- Preparation for test case 2 -----------------
 
--   inpput <= x"0";
 
--   expected_output <= x"";
 
--------------------------------------------------
 
 
                input <= x"A";
                input <= x"A";
      wait for clk_period;
      wait for clk_period;
 
 
 
                if output /= x"F" then
 
                        report "RESULT MISMATCH! Test case 2 failed" severity ERROR;
 
                        assert false severity failure;
 
                else
 
                        report "Test case 2 successful" severity note;
 
                end if;
 
 
 
---- Preparation for test case 3 -----------------
 
--   inpput <= x"0";
 
--   expected_output <= x"";
 
--------------------------------------------------
 
 
                input <= x"F";
                input <= x"F";
      wait for clk_period;
      wait for clk_period;
 
 
 
                if output /= x"2" then
 
                        report "RESULT MISMATCH! Test case 3 failed" severity ERROR;
 
                        assert false severity failure;
 
                else
 
                        report "Test case 3 successful" severity note;
 
                end if;
 
 
      -- insert stimulus here 
      -- insert stimulus here 
                assert false severity failure;
                assert false severity failure;
   end process;
   end process;
 
 
END;
END;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.