OpenCores
URL https://opencores.org/ocsvn/riscv_vhdl/riscv_vhdl/trunk

Subversion Repositories riscv_vhdl

[/] [riscv_vhdl/] [trunk/] [debugger/] [src/] [appdbg64g/] [main.cpp] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 111... Line 111...
                                itst->getInterface(IFACE_SIMPLE_PLUGIN));
                                itst->getInterface(IFACE_SIMPLE_PLUGIN));
        /** Call example method */
        /** Call example method */
        itst_access->exampleAction(0xcafe);
        itst_access->exampleAction(0xcafe);
    }
    }
 
 
    // Working cycle with console:
    RISCV_dispatcher_start();
    while (RISCV_is_active()) {
 
        RISCV_sleep_ms(100);
 
    }
 
 
 
    //const char *t1 = RISCV_get_configuration();
    //const char *t1 = RISCV_get_configuration();
    //RISCV_write_json_file(configFile.to_string(), t1);
    //RISCV_write_json_file(configFile.to_string(), t1);
    RISCV_cleanup();
    RISCV_cleanup();
        return 0;
        return 0;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.