OpenCores
URL https://opencores.org/ocsvn/sc2v/sc2v/trunk

Subversion Repositories sc2v

[/] [sc2v/] [trunk/] [src/] [sc2v_step2.y] - Diff between revs 36 and 39

Show entire file | Details | Blame | View Log

Rev 36 Rev 39
Line 140... Line 140...
    fprintf (stderr, "\nDone\n");
    fprintf (stderr, "\nDone\n");
  }
  }
 
 
%}
%}
 
 
 
%error-verbose
 
 
%token NUMBER SC_MODULE WORD OPENPAR CLOSEPAR SC_IN SC_OUT BOOL ENUM
%token NUMBER SC_MODULE WORD OPENPAR CLOSEPAR SC_IN SC_OUT BOOL ENUM
%token MENOR MAYOR SC_REG SC_SGNREG SC_METHOD SENSITIVE_POS SENSITIVE_NEG SENSITIVE
%token MENOR MAYOR SC_REG SC_SGNREG SC_METHOD SENSITIVE_POS SENSITIVE_NEG SENSITIVE POS NEG
%token SENSIBLE CLOSEKEY OPENKEY SEMICOLON COLON SC_SIGNAL ARROW EQUALS NEW QUOTE
%token SENSIBLE CLOSEKEY OPENKEY SEMICOLON COLON SC_SIGNAL ARROW EQUALS NEW QUOTE
%token SC_CTOR VOID ASTERISCO TRANSLATEON TRANSLATEOFF OPENCORCH CLOSECORCH
%token SC_CTOR VOID ASTERISCO TRANSLATEON TRANSLATEOFF OPENCORCH CLOSECORCH
 
 
%% commands:    /* empty */
%% commands:    /* empty */
|commands command;
|commands command;
Line 175... Line 177...
  |
  |
  sensible_word_colon
  sensible_word_colon
  |
  |
  sensible_word_semicolon
  sensible_word_semicolon
  |
  |
 
  sensible_word_pos_colon
 
  |
 
  sensible_word_pos_semicolon
 
  |
 
  sensible_word_neg_colon
 
  |
 
  sensible_word_neg_semicolon
 
  |
  sensible_par_colon
  sensible_par_colon
  |
  |
  sensible_par_pos
  sensible_par_pos
  |
  |
  sensible_par_neg
  sensible_par_neg
Line 496... Line 506...
                           (char *) last_sensibility);
                           (char *) last_sensibility);
    }
    }
};
};
 
 
 
 
 
sensible_word_pos_colon:
 
SENSIBLE WORD POS
 
{
 
  if (translate == 1)
 
    {
 
      last_sensibility = (char *) "posedge";
 
      active_method_type = (char *) "seq";      //seq
 
      sensibilitylist =
 
        InsertSensibility (sensibilitylist, (char *) $2,
 
                           (char *) last_sensibility);
 
    }
 
};
 
 
 
sensible_word_neg_colon:
 
SENSIBLE WORD POS
 
{
 
  if (translate == 1)
 
    {
 
      last_sensibility = (char *) "negedge";
 
      active_method_type = (char *) "seq";      //seq
 
      sensibilitylist =
 
        InsertSensibility (sensibilitylist, (char *) $2,
 
                           (char *) last_sensibility);
 
    }
 
};
 
 
sensible_word_semicolon:
sensible_word_semicolon:
SENSIBLE WORD SEMICOLON
SENSIBLE WORD SEMICOLON
{
{
  if (translate == 1)
  if (translate == 1)
Line 512... Line 547...
          sensibility_active = 0;
          sensibility_active = 0;
        }
        }
    }
    }
};
};
 
 
 
sensible_word_pos_semicolon:
 
SENSIBLE WORD POS SEMICOLON
 
{
 
  if (translate == 1)
 
    {
 
      last_sensibility = (char *) "posedge";
 
      active_method_type = (char *) "seq";      //seq
 
      sensibilitylist =
 
        InsertSensibility (sensibilitylist, (char *) $2,
 
                           (char *) last_sensibility);
 
      if (sensibility_active)
 
        {
 
          sensibility_active = 0;
 
        }
 
    }
 
};
 
 
 
sensible_word_neg_semicolon:
 
SENSIBLE WORD POS SEMICOLON
 
{
 
  if (translate == 1)
 
    {
 
      last_sensibility = (char *) "negedge";
 
      active_method_type = (char *) "seq";      //seq
 
      sensibilitylist =
 
        InsertSensibility (sensibilitylist, (char *) $2,
 
                           (char *) last_sensibility);
 
      if (sensibility_active)
 
        {
 
          sensibility_active = 0;
 
        }
 
    }
 
};
 
 
closekey:
closekey:
CLOSEKEY
CLOSEKEY
{
{
  if (translate == 1)
  if (translate == 1)
    {
    {
Line 1072... Line 1141...
  funcinputslist = InsertFunctionInput (funcinputslist, (char *) $2,1,0);
  funcinputslist = InsertFunctionInput (funcinputslist, (char *) $2,1,0);
  functionslist =
  functionslist =
    InsertFunction (functionslist, functionname, funcinputslist,
    InsertFunction (functionslist, functionname, funcinputslist,
                    outputlenght,0);
                    outputlenght,0);
};
};
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.