OpenCores
URL https://opencores.org/ocsvn/sdhc-sc-core/sdhc-sc-core/trunk

Subversion Repositories sdhc-sc-core

[/] [sdhc-sc-core/] [trunk/] [grpComponents/] [unitIcs307Configurator/] [src/] [tbIcs307Configurator-e.vhdl] - Diff between revs 79 and 185

Show entire file | Details | Blame | View Log

Rev 79 Rev 185
Line 1... Line 1...
 
-------------------------------------------------------------------------------
 
-- Project: FH-Hagenberg/HSSE: Sandbox X general use IP
 
-- Author: Copyright 2006 by Markus Pfaff, Linz/Austria/Europe
 
-------------------------------------------------------------------------------
 
-- $LastChangedDate: 2007-01-09 08:40:02 +0100 (Di, 09 Jän 2007) $
 
-- $LastChangedRevision: 415 $
 
-- $LastChangedBy: pfaff $
 
-- $HeadURL: file:///C:/pfaff/rpySvn/rpySvnSet5/trunk/Uebung/W06Jg04/Uebung03/unitIcs307Configurator/src/tbIcs307Configurator-e.vhd $
 
-- LoginNames: pfaff - Markus Pfaff, Linz/Austria/Europe
 
-------------------------------------------------------------------------------
 
-- Description: 
 
-------------------------------------------------------------------------------
 
 
 
library ieee;
 
use ieee.std_logic_1164.all;
 
use work.Global.all;
 
 
 
entity tbIcs307Configurator is
 
 
 
end entity tbIcs307Configurator;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.