OpenCores
URL https://opencores.org/ocsvn/sdram_controller/sdram_controller/trunk

Subversion Repositories sdram_controller

[/] [sdram_controller/] [trunk/] [scratch.vhd] - Diff between revs 14 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 21
Line 121... Line 121...
        );
        );
 
 
        SDRAM: entity work.sdram_controller
        SDRAM: entity work.sdram_controller
        port map(
        port map(
                clk100mhz => clk100mhz,
                clk100mhz => clk100mhz,
                en => '1',
 
           reset => rst,
           reset => rst,
           op => op,
           op => op,
           addr => addr,
           addr => addr,
           op_ack => op_ack,
           op_ack => op_ack,
           busy_n => busy_n,
           busy_n => busy_n,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.