OpenCores
URL https://opencores.org/ocsvn/sgmii/sgmii/trunk

Subversion Repositories sgmii

[/] [sgmii/] [trunk/] [sim/] [BFMs/] [SGMII_altera/] [triple_speed_ethernet-library/] [altera_tse_mac_pcs_pma_gige.v] - Diff between revs 9 and 20

Show entire file | Details | Blame | View Log

Rev 9 Rev 20
Line 5... Line 5...
//
//
// $RCSfile: altera_tse_mac_pcs_pma_gige.v,v $
// $RCSfile: altera_tse_mac_pcs_pma_gige.v,v $
// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_mac_pcs_pma_gige.v,v $
// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_mac_pcs_pma_gige.v,v $
//
//
// $Revision: #1 $
// $Revision: #1 $
// $Date: 2011/11/10 $
// $Date: 2012/06/21 $
// Check in by : $Author: max $
// Check in by : $Author: swbranch $
// Author      : Arul Paniandi
// Author      : Arul Paniandi
//
//
// Project     : Triple Speed Ethernet
// Project     : Triple Speed Ethernet
//
//
// Description : 
// Description : 
Line 387... Line 387...
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.SYNCHRONIZER_DEPTH = SYNCHRONIZER_DEPTH,
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.SYNCHRONIZER_DEPTH = SYNCHRONIZER_DEPTH,
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN;
        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN;
 
 
reg reset_p1, reset_p2;
// Based on PHYIP , when user assert reset - it hold the reset sequencer block in reset.
reg reset_posedge;
//                , reset sequencing only start then reset_sequnece end.
always@(posedge clk)
wire reset_sync;
begin
reg  reset_start;
    reset_p1 <= reset;
 
    reset_p2 <= reset_p1;
 altera_tse_reset_synchronizer reset_sync_u0 (
    reset_posedge <= reset_p1 & ~reset_p2;
    .clk(clk),
 
    .reset_in(reset),
 
    .reset_out(reset_sync)
 
    );
 
 
 
always@(posedge clk or posedge reset_sync) begin
 
    if (reset_sync) begin
 
        reset_start <= 1'b1;
 
    end
 
    else begin
 
        reset_start <= 1'b0;
 
    end
end
end
 
 
// Export powerdown signal or wire it internally
// Export powerdown signal or wire it internally
// ---------------------------------------------
// ---------------------------------------------
reg data_in_d1, gxb_pwrdn_in_sig_clk;
reg data_in_d1, gxb_pwrdn_in_sig_clk;
Line 433... Line 444...
 
 
//  ALTGX Reset Sequencer
//  ALTGX Reset Sequencer
        altera_tse_reset_sequencer altera_tse_reset_sequencer_inst(
        altera_tse_reset_sequencer altera_tse_reset_sequencer_inst(
            // User inputs and outputs
            // User inputs and outputs
            .clock(clk),
            .clock(clk),
            .reset_all(reset | gxb_pwrdn_in_sig_clk),
            .reset_all(reset_start | gxb_pwrdn_in_sig_clk),
            //.reset_tx_digital(reset_ref_clk),
            //.reset_tx_digital(reset_ref_clk),
            //.reset_rx_digital(reset_ref_clk),
            //.reset_rx_digital(reset_ref_clk),
            .powerdown_all(reset_posedge),
            .powerdown_all(reset_sync),
            .tx_ready(), // output
            .tx_ready(), // output
            .rx_ready(), // output
            .rx_ready(), // output
            // I/O transceiver and status
            // I/O transceiver and status
            .pll_powerdown(pll_powerdown_sqcnr),// output
            .pll_powerdown(pll_powerdown_sqcnr),// output
            .tx_digitalreset(tx_digitalreset_sqcnr),// output
            .tx_digitalreset(tx_digitalreset_sqcnr),// output

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.