OpenCores
URL https://opencores.org/ocsvn/sha256_hash_core/sha256_hash_core/trunk

Subversion Repositories sha256_hash_core

[/] [sha256_hash_core/] [trunk/] [syn/] [sha256/] [sha256.wcfg] - Diff between revs 6 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 9... Line 9...
            
            
            
            
         
         
      
      
   
   
   
   
   
   
      test_case
      test_case
      test_case
      test_case
   
   
   
   
      pclk
      pclk
      pclk
      pclk
   
   
   
 
      hash_control_st_next
 
      hash_control_st_next
 
   
 
   
   
      hash_control_st_reg
      hash_control_st_reg
      hash_control_st_reg
      hash_control_st_reg
   
   
 
   
 
      hash_control_st_next
 
      hash_control_st_next
 
   
   
   
      dut_ce
      dut_ce
      dut_ce
      dut_ce
   
   
   
 
      dut_di[31:0]
 
      dut_di[31:0]
 
   
 
   
 
      dut_bytes[1:0]
 
      dut_bytes[1:0]
 
   
 
   
 
      sha_reset
 
      sha_reset
 
   
 
   
   
      dut_start
      dut_start
      dut_start
      dut_start
   
   
   
   
      dut_end
      dut_end
      dut_end
      dut_end
   
   
 
   
 
      di_wr_window
 
      di_wr_window
 
   
   
   
      dut_di_req
      dut_di_req
      dut_di_req
      dut_di_req
   
   
   
   
      dut_di_ack
      dut_di_wr
      dut_di_ack
      dut_di_wr
   
   
   
   
      dut_error
      dut_error
      dut_error
      dut_error
   
   
Line 82... Line 74...
   
   
   
   
      bytes_error_next
      bytes_error_next
      bytes_error_next
      bytes_error_next
   
   
 
   
 
      dut_di[31:0]
 
      dut_di[31:0]
 
   
 
   
 
      dut_bytes[1:0]
 
      dut_bytes[1:0]
 
   
   
   
      st_cnt_reg[6:0]
      st_cnt_reg[6:0]
      st_cnt_reg[6:0]
      st_cnt_reg[6:0]
   
   
   
   
      bitlen_o[63:0]
      bitlen_o[63:0]
      bitlen_o[63:0]
      bitlen_o[63:0]
   
   
 
   
 
      sha_reset
 
      sha_reset
 
   
 
   
 
      sha_init
 
      sha_init
 
   
 
   
 
      sch_ld_o
 
      sch_ld_o
 
   
 
   
 
      core_ld_o
 
      core_ld_o
 
   
 
   
 
      oregs_ld_o
 
      oregs_ld_o
 
   
 
   
 
      sch_ce_o
 
      sch_ce_o
 
   
 
   
 
      core_ce_o
 
      core_ce_o
 
   
 
   
 
      oregs_ce_o
 
      oregs_ce_o
 
   
 
   
 
      one_insert_o
 
      one_insert_o
 
   
 
   
 
      sha_last_blk_reg
 
      sha_last_blk_reg
 
   
 
   
 
      sha_last_blk_next
 
      sha_last_blk_next
 
   
   
   
      dut_h0[31:0]
      dut_h0[31:0]
      dut_h0[31:0]
      dut_h0[31:0]
   
   
   
   

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.