OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [rtl/] [RTL_VB/] [tx_spw.v] - Diff between revs 8 and 12

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 8 Rev 12
Line 108... Line 108...
 
 
        reg [3:0] global_counter_transfer;
        reg [3:0] global_counter_transfer;
 
 
assign ready_tx_timecode = (enable_time_code & global_counter_transfer == 14)?1'b1:1'b0;
assign ready_tx_timecode = (enable_time_code & global_counter_transfer == 14)?1'b1:1'b0;
 
 
 
/*
 
assign ready_tx_data     = (enable_n_char & global_counter_transfer == 4'd9  & !data_tx_i[8])?1'b1:
 
                           (enable_n_char & global_counter_transfer == 4'd3  &  data_tx_i[8])?1'b1:1'b0;
 
*/
 
 
always@(*)
always@(*)
begin
begin
        tx_dout = 1'b0;
        tx_dout = 1'b0;
 
 
         if(!enable_tx)
         if(!enable_tx)
Line 435... Line 440...
        end
        end
        else if((enable_null | enable_fct | enable_n_char) && tx_dout != last_tx_dout)
        else if((enable_null | enable_fct | enable_n_char) && tx_dout != last_tx_dout)
        begin
        begin
                tx_sout = last_tx_sout;
                tx_sout = last_tx_sout;
        end
        end
 
 
end
end
 
 
//slots open in another side
//slots open in another side
always@(posedge gotfct_tx or negedge enable_tx)
always@(posedge gotfct_tx or negedge enable_tx)
begin
begin
Line 494... Line 500...
        case(state_tx)
        case(state_tx)
        tx_spw_start:
        tx_spw_start:
        begin
        begin
                if(send_null_tx && enable_tx)
                if(send_null_tx && enable_tx)
                begin
                begin
                        if(!hold_null)
                        //if(!hold_null)
                                next_state_tx = tx_spw_null;
                                next_state_tx = tx_spw_null;
 
 
                        enable_null = 1'b1;
                        //enable_null = 1'b1;
                end
                end
                else
                else
                begin
                begin
                        next_state_tx = tx_spw_start;
                        next_state_tx = tx_spw_start;
                end
                end
Line 533... Line 539...
                else
                else
                begin
                begin
                        enable_fct = 1'b0;
                        enable_fct = 1'b0;
                        if(send_fct_tx && fct_counter > 6'd0)
                        if(send_fct_tx && fct_counter > 6'd0)
                        begin
                        begin
 
                                //if(global_counter_transfer == 4'd7)
                                next_state_tx =  tx_spw_full;
                                next_state_tx =  tx_spw_full;
                        end
                        end
 
 
                end
                end
        end
        end
Line 546... Line 553...
                enable_null = 1'b0;
                enable_null = 1'b0;
                enable_fct  = 1'b0;
                enable_fct  = 1'b0;
                enable_n_char = 1'b0;
                enable_n_char = 1'b0;
                enable_time_code = 1'b0;
                enable_time_code = 1'b0;
 
 
 
                /*
 
                hold_null       <= 1'b0;
 
                hold_fct        <= 1'b0;
 
                hold_data       <= 1'b0;
 
                hold_time_code  <= 1'b0;
 
                */
 
 
                if(tickin_tx && !hold_null && !hold_fct && !hold_data)
                if(tickin_tx && !hold_null && !hold_fct && !hold_data)
                begin
                begin
                        enable_time_code = 1'b1;
                        enable_time_code = 1'b1;
                end
                end
                else if(fct_flag > 3'd0 && !hold_null && !hold_time_code && !hold_data)
                else if(fct_flag > 3'd0 && !hold_null && !hold_time_code && !hold_data)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.