OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [sqm/] [sq_pg.v] - Diff between revs 12 and 16

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 16
Line 1... Line 1...
 
/*
 
        SQmusic
 
 
 
  (c) Jose Tejada Gomez, 9th May 2013
 
  You can use this file following the GNU GENERAL PUBLIC LICENSE version 3
 
  Read the details of the license in:
 
  http://www.gnu.org/licenses/gpl.txt
 
 
 
  Send comments to: jose.tejada@ieee.org
 
 
 
*/
 
 
module sq_pg(
module sq_pg(
        input clk,
        input clk,
        input reset_n,
        input reset_n,
        input [10:0] fnumber,
        input [10:0] fnumber,
        input [2:0] block,
        input [2:0] block,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.