OpenCores
URL https://opencores.org/ocsvn/sqmusic/sqmusic/trunk

Subversion Repositories sqmusic

[/] [sqmusic/] [trunk/] [ver/] [sq_opn_basic.v] - Diff between revs 18 and 19

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 13... Line 13...
`timescale 1ns/1ps
`timescale 1ns/1ps
 
 
module sq_opn_basic;
module sq_opn_basic;
 
 
reg clk, reset_n;
reg clk, reset_n;
 
wire signed [12:0] linear;
 
 
parameter fnumber = 11'h40E;
parameter fnumber = 11'h1;
parameter block   =  3'h4;
parameter block   =  3'h0;
parameter multiple=  4'h1;
parameter multiple=  4'h1;
 
 
initial begin
initial begin
  $dumpvars(0,sq_opn_basic);
  $dumpvars(0,sq_opn_basic);
  $dumpon;
  $dumpon;
  reset_n = 0;
  reset_n = 0;
  #300 reset_n=1;
  #300 reset_n=1;
  #1e8 // 10ms
  $display("SOUND START");
 
  #(1e10)
  $finish;
  $finish;
end
end
 
 
always begin
always begin
  clk = 0;
  clk = 0;
  forever #(125/2) clk = ~clk & reset_n;
  forever #(125/2*144) clk = ~clk & reset_n;
end
end
 
 
sq_slot slot(
sq_slot slot(
        .clk     (clk),
        .clk     (clk),
        .reset_n (reset_n),
        .reset_n (reset_n),
        .fnumber (fnumber),
        .fnumber (fnumber),
        .block   (block),
        .block   (block),
  .multiple(multiple)
  .multiple(multiple),
 
  .linear  (linear)
);
);
 
 
 
// always #(1e9/44100) $display("%d", linear);
 
 
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.