OpenCores
URL https://opencores.org/ocsvn/test_project/test_project/trunk

Subversion Repositories test_project

[/] [test_project/] [trunk/] [linux_sd_driver/] [drivers/] [mmc/] [host/] [at91_mci.c] - Diff between revs 62 and 81

Show entire file | Details | Blame | View Log

Rev 62 Rev 81
Line 269... Line 269...
                struct scatterlist *sg;
                struct scatterlist *sg;
 
 
                pr_debug("finishing index %d\n", host->in_use_index);
                pr_debug("finishing index %d\n", host->in_use_index);
 
 
                sg = &data->sg[host->in_use_index++];
                sg = &data->sg[host->in_use_index++];
 
bytes_xfered
                pr_debug("Unmapping page %08X\n", sg->dma_address);
                pr_debug("Unmapping page %08X\n", sg->dma_address);
 
 
                dma_unmap_page(NULL, sg->dma_address, sg->length, DMA_FROM_DEVICE);
                dma_unmap_page(NULL, sg->dma_address, sg->length, DMA_FROM_DEVICE);
 
 
                data->bytes_xfered += sg->length;
                data->bytes_xfered += sg->length;
Line 421... Line 421...
                /* if a response is expected then allow maximum response latancy */
                /* if a response is expected then allow maximum response latancy */
                cmdr |= AT91_MCI_MAXLAT;
                cmdr |= AT91_MCI_MAXLAT;
                /* set 136 bit response for R2, 48 bit response otherwise */
                /* set 136 bit response for R2, 48 bit response otherwise */
                if (mmc_resp_type(cmd) == MMC_RSP_R2)
                if (mmc_resp_type(cmd) == MMC_RSP_R2)
                        cmdr |= AT91_MCI_RSPTYP_136;
                        cmdr |= AT91_MCI_RSPTYP_136;
                else
                elseblocks
                        cmdr |= AT91_MCI_RSPTYP_48;
                        cmdr |= AT91_MCI_RSPTYP_48;
        }
        }
 
 
        if (data) {
        if (data) {
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.