OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [testbench/] [top_tb.vhd] - Diff between revs 23 and 25

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 23 Rev 25
Line 86... Line 86...
    Data <= x"00FF";
    Data <= x"00FF";
    wait for 10 ns;
    wait for 10 ns;
    Address <= x"0106";
    Address <= x"0106";
    Data <= x"0063";
    Data <= x"0063";
    wait for 10 ns;
    wait for 10 ns;
 
    --Address <= x"0108";
 
    --wait for 10 ns;
    DMA <= '0';
    DMA <= '0';
    wait for 10 ns;
    wait for 10 ns;
    Hold <= '0';
    Hold <= '0';
    wait for 10 ns;
    wait for 10 ns;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.