OpenCores
URL https://opencores.org/ocsvn/tinycpu/tinycpu/trunk

Subversion Repositories tinycpu

[/] [tinycpu/] [trunk/] [testbench/] [top_tb.vhd] - Diff between revs 28 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 28 Rev 37
Line 17... Line 17...
      Clock: in std_logic;
      Clock: in std_logic;
      DMA: in std_logic; --when high, Address, WriteEnable, and Data are connected to memory
      DMA: in std_logic; --when high, Address, WriteEnable, and Data are connected to memory
      Address: in std_logic_vector(15 downto 0); --memory address (in bytes)
      Address: in std_logic_vector(15 downto 0); --memory address (in bytes)
      WriteEnable: in std_logic;
      WriteEnable: in std_logic;
      Data: inout std_logic_vector(15 downto 0);
      Data: inout std_logic_vector(15 downto 0);
 
      Port0: inout std_logic_vector(7 downto 0);
      --debug ports
      --debug ports
      DebugR0: out std_logic_vector(7 downto 0)
      DebugR0: out std_logic_vector(7 downto 0)
    );
    );
  end component;
  end component;
 
 
Line 30... Line 31...
  signal HoldAck: std_logic;
  signal HoldAck: std_logic;
  signal DMA: std_logic:='0'; --when high, Address, WriteEnable, and Data are connected to memory
  signal DMA: std_logic:='0'; --when high, Address, WriteEnable, and Data are connected to memory
  signal Address: std_logic_vector(15 downto 0):=x"0000"; --memory address (in bytes)
  signal Address: std_logic_vector(15 downto 0):=x"0000"; --memory address (in bytes)
  signal WriteEnable: std_logic:='0';
  signal WriteEnable: std_logic:='0';
  signal Data: std_logic_vector(15 downto 0):=x"0000";
  signal Data: std_logic_vector(15 downto 0):=x"0000";
 
  signal Port0: std_logic_vector(7 downto 0);
  --debug ports
  --debug ports
  signal DebugR0: std_logic_vector(7 downto 0);
  signal DebugR0: std_logic_vector(7 downto 0);
 
 
  signal Clock: std_logic;
  signal Clock: std_logic;
  constant clock_period : time := 10 ns;
  constant clock_period : time := 10 ns;
Line 48... Line 50...
    Clock => Clock,
    Clock => Clock,
    DMA => DMA,
    DMA => DMA,
    Address => Address,
    Address => Address,
    WriteEnable => WriteEnable,
    WriteEnable => WriteEnable,
    Data => Data,
    Data => Data,
    DebugR0 => DebugR0
    DebugR0 => DebugR0,
 
    Port0 => Port0
  );
  );
 
 
  -- Clock process definitions
  -- Clock process definitions
  clock_process :process
  clock_process :process
  begin
  begin

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.