OpenCores
URL https://opencores.org/ocsvn/tinyvliw8/tinyvliw8/trunk

Subversion Repositories tinyvliw8

[/] [tinyvliw8/] [trunk/] [tools/] [asm/] [src/] [asm.c] - Diff between revs 5 and 6

Show entire file | Details | Blame | View Log

Rev 5 Rev 6
Line 1... Line 1...
 
/**
 
 * \file   asm.c
 
 * \author Oliver Stecklina <stecklina@ihp-microelectronics.com>
 
 * \date   12.12.2015
 
 *
 
 * \brief  main program file of the tinyVLIW8 assembler
 
 *
 
 * <p>
 
 *    Copyright (C) 2015 IHP GmbH, Frankfurt (Oder), Germany
 
 *
 
 * This code is free software. It is licensed under the EUPL, Version 1.1
 
 * or - as soon they will be approved by the European Commission - subsequent
 
 * versions of the EUPL (the "License").
 
 * You may redistribute this code and/or modify it under the terms of this
 
 * License.
 
 * You may not use this work except in compliance with the License.
 
 * You may obtain a copy of the License at:
 
 *
 
 * http://joinup.ec.europa.eu/software/page/eupl/licence-eupl
 
 *
 
 * Unless required by applicable law or agreed to in writing, software
 
 * distributed under the License is distributed on an "AS IS" basis,
 
 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
 
 * See the License for the specific language governing permissions and
 
 * limitations under the License.
 
 * </p>
 
 */
 
 
#include <sys/types.h>
#include <sys/types.h>
#include <sys/stat.h>
#include <sys/stat.h>
#include <stdio.h>
#include <stdio.h>
#include <stdlib.h>
#include <stdlib.h>
#include <string.h>
#include <string.h>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.