OpenCores
URL https://opencores.org/ocsvn/uart_block/uart_block/trunk

Subversion Repositories uart_block

[/] [uart_block/] [trunk/] [hdl/] [iseProject/] [uart_wishbone_slave.vhd] - Diff between revs 14 and 21

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 21
Line 13... Line 13...
           DAT_O0 : out  STD_LOGIC_VECTOR (31 downto 0);
           DAT_O0 : out  STD_LOGIC_VECTOR (31 downto 0);
           WE_I : in  STD_LOGIC;
           WE_I : in  STD_LOGIC;
           STB_I : in  STD_LOGIC;
           STB_I : in  STD_LOGIC;
           ACK_O : out  STD_LOGIC;
           ACK_O : out  STD_LOGIC;
                          serial_in : in std_logic;
                          serial_in : in std_logic;
 
                          data_Avaible : out std_logic;                                                                                 -- Indicate that the receiver module got something
                          serial_out : out std_logic
                          serial_out : out std_logic
                          );
                          );
end uart_wishbone_slave;
end uart_wishbone_slave;
 
 
architecture Behavioral of uart_wishbone_slave is
architecture Behavioral of uart_wishbone_slave is
Line 89... Line 90...
                serial_out => serial_out,
                serial_out => serial_out,
                serial_in => serial_in,
                serial_in => serial_in,
                start_tx => tx_start
                start_tx => tx_start
        );
        );
 
 
 
        data_Avaible <= rx_data_ready;
 
 
end Behavioral;
end Behavioral;
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.