OpenCores
URL https://opencores.org/ocsvn/usimplez/usimplez/trunk

Subversion Repositories usimplez

[/] [usimplez/] [trunk/] [QuartusII/] [usimplez_top.vhd] - Diff between revs 2 and 3

Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 68... Line 68...
 
 
architecture str of usimplez_top is
architecture str of usimplez_top is
 
 
        component usimplez_cpu
        component usimplez_cpu
                generic
                generic
                (       WIDTH_DATA_BUS: natural;
                (       WIDTH_WORD:     natural;
                        WIDTH_OPERATION_CODE: natural;
                        WIDTH_OPERATION_CODE: natural;
                        WIDTH_ADDRESS: natural;
                        WIDTH_ADDRESS: natural;
                        --Instructions:
                        --Instructions:
                        ST:     std_logic_vector(2 downto 0);
                        ST:     std_logic_vector;
                        LD:     std_logic_vector(2 downto 0);
                        LD:     std_logic_vector;
                        ADD:    std_logic_vector(2 downto 0);
                        ADD:    std_logic_vector;
                        BR:     std_logic_vector(2 downto 0);
                        BR:     std_logic_vector;
                        BZ:     std_logic_vector(2 downto 0);
                        BZ:     std_logic_vector;
                        CLR:    std_logic_vector(2 downto 0);
                        CLR:    std_logic_vector;
                        DEC:    std_logic_vector(2 downto 0);
                        DEC:    std_logic_vector;
                        HALT:   std_logic_vector(2 downto 0)
                        HALT:   std_logic_vector
                );
                );
                port
                port
                (       clk_i : in std_logic;
                (       clk_i : in std_logic;
                        rst_i : in std_logic;
                        rst_i : in std_logic;
                        data_bus_i : in std_logic_vector(WIDTH_DATA_BUS-1 downto 0); --here
                        data_bus_i : in std_logic_vector(WIDTH_WORD-1 downto 0);
                        we_o : out std_logic;
                        we_o : out std_logic;
                        in0_o : out std_logic;
                        in0_o : out std_logic;
                        in1_o : out std_logic;
                        in1_o : out std_logic;
                        op0_o : out std_logic;
                        op0_o : out std_logic;
                        op1_o : out std_logic;
                        op1_o : out std_logic;
                        addr_bus_o : out std_logic_vector(8 downto 0);
                        addr_bus_o : out std_logic_vector(WIDTH_ADDRESS-1 downto 0);
                        data_bus_o : out std_logic_vector(11 downto 0)
                        data_bus_o : out std_logic_vector(WIDTH_WORD-1 downto 0)
                );
                );
        end component;
        end component;
 
 
        component usimplez_ram
        component usimplez_ram
                generic
                generic
Line 103... Line 103...
                        WIDTH_WORD : natural
                        WIDTH_WORD : natural
                );
                );
                port
                port
                (       clk_i : in std_logic;
                (       clk_i : in std_logic;
                        we_i : in std_logic;
                        we_i : in std_logic;
                        addr_i : in std_logic_vector(8 downto 0);
                        addr_i : in std_logic_vector(WIDTH_ADDRESS-1 downto 0);
                        data_i : in std_logic_vector(11 downto 0);
                        data_i : in std_logic_vector(WIDTH_WORD-1 downto 0);
                        data_o : out std_logic_vector(11 downto 0)
                        data_o : out std_logic_vector(WIDTH_WORD-1 downto 0)
                );
                );
        end component;
        end component;
 
 
        signal  rd_data_bus_s :  std_logic_vector(WIDTH_WORD-1 downto 0);
        signal  rd_data_bus_s :  std_logic_vector(WIDTH_WORD-1 downto 0);
        signal  we_s :  std_logic;
        signal  we_s :  std_logic;
Line 120... Line 120...
 
 
we_o <= we_s;
we_o <= we_s;
 
 
cpu:usimplez_cpu
cpu:usimplez_cpu
        generic map
        generic map
        (       WIDTH_DATA_BUS => 12,
        (       WIDTH_WORD => 12,
                WIDTH_ADDRESS => 9,
                WIDTH_ADDRESS => 9,
                WIDTH_OPERATION_CODE => 3,
                WIDTH_OPERATION_CODE => 3,
                ST              => "000",
                ST              => "000",
                LD              => "001",
                LD              => "001",
                ADD             => "010",
                ADD             => "010",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.