OpenCores
URL https://opencores.org/ocsvn/wb2axip/wb2axip/trunk

Subversion Repositories wb2axip

[/] [wb2axip/] [trunk/] [rtl/] [wbm2axisp.v] - Diff between revs 14 and 15

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 14 Rev 15
Line 163... Line 163...
        assign o_axi_awprot  = 3'b010;  // Unpriviledged, unsecure, data access
        assign o_axi_awprot  = 3'b010;  // Unpriviledged, unsecure, data access
        assign o_axi_arprot  = 3'b010;  // Unpriviledged, unsecure, data access
        assign o_axi_arprot  = 3'b010;  // Unpriviledged, unsecure, data access
        assign o_axi_awqos   = 4'h0;    // Lowest quality of service (unused)
        assign o_axi_awqos   = 4'h0;    // Lowest quality of service (unused)
        assign o_axi_arqos   = 4'h0;    // Lowest quality of service (unused)
        assign o_axi_arqos   = 4'h0;    // Lowest quality of service (unused)
 
 
        reg     wb_mid_cycle, wb_last_cyc_stb, wb_mid_abort, wb_cyc_stb;
        reg     wb_mid_cycle, wb_mid_abort;
        wire    wb_abort;
        wire    wb_abort;
 
 
// Command logic
// Command logic
// Transaction ID logic
// Transaction ID logic
        wire    [(LGFIFOLN-1):0] fifo_head;
        wire    [(LGFIFOLN-1):0] fifo_head;
Line 582... Line 582...
 
 
        //
        //
        // Wishbone abort logic
        // Wishbone abort logic
        //
        //
 
 
        // Did we just accept something?
 
        initial wb_cyc_stb = 1'b0;
 
        always @(posedge i_clk)
 
        if (i_reset)
 
                wb_cyc_stb <= 1'b0;
 
        else
 
                wb_cyc_stb <= (i_wb_cyc)&&(i_wb_stb)&&(!o_wb_stall);
 
 
 
        // Else, are we mid-cycle?
        // Else, are we mid-cycle?
        initial wb_mid_cycle = 0;
        initial wb_mid_cycle = 0;
        always @(posedge i_clk)
        always @(posedge i_clk)
        if (i_reset)
        if (i_reset)
                wb_mid_cycle <= 0;
                wb_mid_cycle <= 0;
Line 623... Line 615...
                                (w_fifo_full)||(wb_mid_abort)
                                (w_fifo_full)||(wb_mid_abort)
                                ||((o_axi_awvalid)&&(!i_axi_awready))
                                ||((o_axi_awvalid)&&(!i_axi_awready))
                                ||((o_axi_wvalid )&&(!i_axi_wready ))
                                ||((o_axi_wvalid )&&(!i_axi_wready ))
                                ||((o_axi_arvalid)&&(!i_axi_arready)));
                                ||((o_axi_arvalid)&&(!i_axi_arready)));
 
 
 
        // Make Verilator happy
 
        // verilator lint_off UNUSED
 
        wire    [2:0]   unused;
 
        assign  unused = { i_axi_bresp[0], i_axi_rresp[0], i_axi_rlast };
 
        // verilator lint_on  UNUSED
 
 
/////////////////////////////////////////////////////////////////////////
/////////////////////////////////////////////////////////////////////////
//
//
//
//
//
//

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.