OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [impl/] [avnet_sp3a_eval_gpio_vhd.vhd] - Diff between revs 24 and 28

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 24 Rev 28
Line 166... Line 166...
begin
begin
 
 
  -- reset synchronisation
  -- reset synchronisation
  process(clk)
  process(clk)
  begin
  begin
 
    if rising_edge(clk) then
    rst <= FPGA_RESET;
    rst <= FPGA_RESET;
 
    end if;
  end process;
  end process;
  clk <= CLK_16MHZ;
  clk <= CLK_16MHZ;
 
 
  -- module instances
  -- module instances
  -------------------
  -------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.