OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [rtl/] [picoblaze_wb_gpio.v] - Diff between revs 19 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 23
Line 47... Line 47...
 
 
  p_gpio_io
  p_gpio_io
);
);
 
 
  input p_rst_n_i;
  input p_rst_n_i;
  wire  p_rst_i;
  wire  p_rst_n_i;
  input p_clk_i;
  input p_clk_i;
  wire  p_clk_i;
  wire  p_clk_i;
 
 
  inout[7:0] p_gpio_io;
  inout[7:0] p_gpio_io;
  wire [7:0] p_gpio_io;
  wire [7:0] p_gpio_io;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.