OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [rtl/] [picoblaze_wb_uart.vhd] - Diff between revs 12 and 26

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 26
Line 151... Line 151...
begin
begin
 
 
  -- reset synchronisation
  -- reset synchronisation
  process(clk)
  process(clk)
  begin
  begin
 
    if rising_edge(clk) then
    rst <= not p_rst_n_i;
    rst <= not p_rst_n_i;
 
    end if;
  end process;
  end process;
  clk <= p_clk_i;
  clk <= p_clk_i;
 
 
  -- module instances
  -- module instances
  -------------------
  -------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.