OpenCores
URL https://opencores.org/ocsvn/wb4pb/wb4pb/trunk

Subversion Repositories wb4pb

[/] [wb4pb/] [trunk/] [sim/] [hdl/] [picoblaze_wb_uart_tb.vhd] - Diff between revs 15 and 18

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 15 Rev 18
Line 69... Line 69...
 
 
  constant PERIOD : time := 20 ns;
  constant PERIOD : time := 20 ns;
 
 
begin
begin
 
 
 
  -- system signal generation
  rst_n <= '1' after PERIOD*2;
  rst_n <= '1' after PERIOD*2;
  clk <= not clk after PERIOD/2;
  clk <= not clk after PERIOD/2;
 
 
  -- simple serial loopback
  -- simple serial loopback
  uart_rx_si <= uart_tx_so;
  uart_rx_si <= uart_tx_so;
 
 
 
  -- design under test instance
  dut : picoblaze_wb_uart
  dut : picoblaze_wb_uart
    port map
    port map
    (
    (
      p_rst_n_i => rst_n,
      p_rst_n_i => rst_n,
      p_clk_i => clk,
      p_clk_i => clk,

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.