OpenCores
URL https://opencores.org/ocsvn/wb_fifo/wb_fifo/trunk

Subversion Repositories wb_fifo

[/] [wb_fifo/] [trunk/] [workspaces/] [simulate.sh] - Diff between revs 9 and 10

Show entire file | Details | Blame | View Log

Rev 9 Rev 10
Line 41... Line 41...
# vhdl files
# vhdl files
#VHDL_FILES = $(SRC_PATH)/*.vhdl
#VHDL_FILES = $(SRC_PATH)/*.vhdl
#COMMON_VHDL_FILES = $(COMMONFILES_PATH)/*.vhdl
#COMMON_VHDL_FILES = $(COMMONFILES_PATH)/*.vhdl
 
 
# build options
# build options
GHDL_BUILD_OPTS=--std=02
GHDL_BUILD_OPTS=--std=02 --assert-level=error
QUESTA_BUILD_OPTS=-2008
QUESTA_BUILD_OPTS=-2008
DC_BUILD_OPTS=
DC_BUILD_OPTS=
VCS_BUILD_OPTS=-vhdl08
VCS_BUILD_OPTS=-vhdl08
 
 
# Simulation break condition
 
GHDL_SIM_OPTS=--assert-level=error
 
#GHDL_SIM_OPTS    = --stop-time=5us             #500ns
 
 
 
# Workspaces
# Workspaces
#SIM_PATH = $(ROOT_PATH)/simulation/ghdl
GHDL_SIM_PATH=$ROOT_PATH/simulation/ghdl
#SYNTH_PATH = $(ROOT_PATH)/synthesis/vivado
 
VCS_SIM_PATH=$ROOT_PATH/simulation/vcs-mx
 
QUESTA_SIM_PATH=$ROOT_PATH/simulation/questa
QUESTA_SIM_PATH=$ROOT_PATH/simulation/questa
 
VCS_SIM_PATH=$ROOT_PATH/simulation/vcs-mx
 
VIVADO_SYNTH_PATH=$ROOT_PATH/synthesis/vivado
DC_SYNTH_PATH=$ROOT_PATH/synthesis/dc
DC_SYNTH_PATH=$ROOT_PATH/synthesis/dc
 
 
##read -p "press Enter to run full simulation now, or Ctrl-C to exit: ";
 
#echo $(date "+[%Y-%m-%d %H:%M:%S]: Removing previously-generated files and folders...");
 
#rm -rf *./simulate.log ./work ./altera ./osvvm ./tauhop;
 
#
 
#echo $(date "+[%Y-%m-%d %H:%M:%S]: Remove successful.");
 
#echo $(date "+[%Y-%m-%d %H:%M:%S]: Compiling project...");
 
#vlib work; vmap work work;
 
#vlib tauhop; vmap tauhop tauhop;
 
#vlib osvvm; vmap osvvm osvvm;
 
 
 
isNotExists_vhdlan=`hash vhdlan 2>&1 | grep >&1 "not found"` ;
isNotExists_vhdlan=`hash vhdlan 2>&1 | grep >&1 "not found"` ;
if [ `echo ${#isNotExists_vhdlan}` -gt 0 ]
if [ `echo ${#isNotExists_vhdlan}` -gt 0 ]
then echo "Warning: vhdlan not installed. Skipping compilation for VCS.";
then echo "Warning: vhdlan not installed. Skipping compilation for VCS.";
else
else
        echo "Starting VCS compile..."
        echo "Starting VCS compile..."
Line 107... Line 93...
                echo $(date "+[%Y-%m-%d %H:%M:%S]: simulation loaded.");
                echo $(date "+[%Y-%m-%d %H:%M:%S]: simulation loaded.");
        fi
        fi
fi
fi
 
 
isNotExists_vcom=`hash vcom 2>&1 | grep >&1 "not found"` ;
isNotExists_vcom=`hash vcom 2>&1 | grep >&1 "not found"` ;
#isNotExists_vcom=`hash vhdlan 2>/dev/null || { echo >&2 "Warning: vcom not installed. Skipping compilation for Questa/ModelSim."; }`;
 
if [ `echo ${#isNotExists_vcom}` -gt 0 ]
if [ `echo ${#isNotExists_vcom}` -gt 0 ]
then echo "Warning: vcom not installed. Skipping compilation for Questa/ModelSim.";
then echo "Warning: vcom not installed. Skipping compilation for Questa/ModelSim.";
else
else
        echo "Starting Questa/ModelSim compile..."
        echo "Starting Questa/ModelSim compile..."
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.