OpenCores
URL https://opencores.org/ocsvn/xucpu/xucpu/trunk

Subversion Repositories xucpu

[/] [xucpu/] [trunk/] [src/] [components/] [BRAM/] [Makefile] - Diff between revs 22 and 23

Show entire file | Details | Blame | View Log

Rev 22 Rev 23
Line 1... Line 1...
 
ifeq ($(OS), Windows_NT)
 
GHDL:=/cygdrive/g/bin/ghdl-0.31-mcode-win32/bin/ghdl
 
else
GHDL:=/opt/ghdl/ghdl_mcode/translate/ghdldrv/ghdl_mcode
GHDL:=/opt/ghdl/ghdl_mcode/translate/ghdldrv/ghdl_mcode
 
endif
 
 
SOURCE:= ../../util/file/hexio.vhdl \
SOURCE:= ../../util/file/hexio.vhdl \
        ../../components/multiplexer/MUX.vhdl \
        ../../components/multiplexer/MUX.vhdl \
        generic_memory_block.vhdl \
        generic_memory_block.vhdl \
        ram_parts.vhdl \
        ram_parts.vhdl \
        RAM.vhdl \
        RAM.vhdl \
        tb_generic_ram.vhdl
        tb_generic_ram.vhdl
 
 
 
run: tb_generic
 
        $(GHDL) -r --ieee=synopsys tb_generic_ram \
 
                   --wave=tb_generic_ram.ghw \
 
                   --stop-time=10us
 
 
tb_generic:
tb_generic:
        $(GHDL) -a $(SOURCE)
        $(GHDL) -a $(SOURCE)
        $(GHDL) -e tb_generic_ram
        $(GHDL) -e tb_generic_ram
 
 
# vim: set tw=0:
# vim: set tw=0:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.