OpenCores
URL https://opencores.org/ocsvn/yahamm/yahamm/trunk

Subversion Repositories yahamm

[/] [yahamm/] [trunk/] [rtl/] [vhdl/] [yahamm_enc.vhd] - Diff between revs 5 and 8

Show entire file | Details | Blame | View Log

Rev 5 Rev 8
Line 8... Line 8...
-- A hamming encoder and decoder with single-error correcting and
-- A hamming encoder and decoder with single-error correcting and
-- double-error detecting capability. The message length can be configured
-- double-error detecting capability. The message length can be configured
-- through a generic. Both the code generator matrix and the parity-check
-- through a generic. Both the code generator matrix and the parity-check
-- matrix are computed in the VHDL itself.
-- matrix are computed in the VHDL itself.
--
--
-- To Do:
 
-- - write docs
 
--
 
-- Author:
-- Author:
-- - Nicola De Simone, ndesimone@opencores.org
-- - Nicola De Simone, ndesimone@opencores.org
--
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
--
Line 82... Line 79...
  port(
  port(
    clk_i, rst_i : in  std_logic;
    clk_i, rst_i : in  std_logic;
    en_i       : in  std_logic := '1';          -- Synchronous output enable .
    en_i       : in  std_logic := '1';          -- Synchronous output enable .
    data_i        : in  std_logic_vector(MESSAGE_LENGTH - 1 downto 0);  -- Input data.
    data_i        : in  std_logic_vector(MESSAGE_LENGTH - 1 downto 0);  -- Input data.
    data_o        : out std_logic_vector(MESSAGE_LENGTH - 1 downto 0);  -- Out data.
    data_o        : out std_logic_vector(MESSAGE_LENGTH - 1 downto 0);  -- Out data.
    data_valid_o : out std_logic;
    data_valid_o : out std_logic;        -- High when data_o is valid.
    parity_o   : out std_logic_vector(calc_nparity_bits(MESSAGE_LENGTH, ONE_PARITY_BIT) + EXTRA_PARITY_BIT - 1 downto 0)    -- Parity bits.
    parity_o   : out std_logic_vector(calc_nparity_bits(MESSAGE_LENGTH, ONE_PARITY_BIT) + EXTRA_PARITY_BIT - 1 downto 0)    -- Parity bits.
    );
    );
 
 
end yahamm_enc;
end yahamm_enc;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.