OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_modelsim/] [noc_sim_statistic.sv] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 1... Line 1...
// synthesis translate_off
// synthesis translate_off
`timescale   1ns/1ns
`timescale   1ns/1ns
`include "pronoc_def.v"
`include "pronoc_def.v"
module routers_statistic_collector
module routers_statistic_collector #(
                import pronoc_pkg::*;
        parameter NOC_ID=0
        (
)(
                reset,
                reset,
                clk,
                clk,
                router_event,
                router_event,
                print
                print
        );
        );
 
 
 
        `NOC_CONF
 
 
        input   clk,reset;
        input   clk,reset;
        input   router_event_t  router_event [NR-1 : 0][MAX_P-1 : 0];
        input   router_event_t  router_event [NR-1 : 0][MAX_P-1 : 0];
        input   print;
        input   print;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.