OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_noc/] [noc_localparam.v] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 8... Line 8...
/**********************************************************************
/**********************************************************************
**  File: noc_localparam.v
**  File: noc_localparam.v
**
**
**  Copyright (C) 2014-2021  Alireza Monemi
**  Copyright (C) 2014-2021  Alireza Monemi
**
**
**  This file is part of ProNoC 2.0.0
**      This file is part of ProNoC 2.1.0
**
**
**  ProNoC ( stands for Prototype Network-on-chip)  is free software:
**  ProNoC ( stands for Prototype Network-on-chip)  is free software:
**  you can redistribute it and/or modify it under the terms of the GNU
**  you can redistribute it and/or modify it under the terms of the GNU
**  Lesser General Public License as published by the Free Software Foundation,
**  Lesser General Public License as published by the Free Software Foundation,
**  either version 2 of the License, or (at your option) any later version.
**  either version 2 of the License, or (at your option) any later version.
Line 30... Line 30...
    `ifdef   NOC_LOCAL_PARAM
    `ifdef   NOC_LOCAL_PARAM
 
 
 
 
 
 
 
 
//NoC parameters
//NoC localparams
    localparam TOPOLOGY="MESH";
    localparam TOPOLOGY="MESH";
    localparam T1=3;
        localparam T1=4;
    localparam T2=3;
        localparam T2=4;
    localparam T3=1;
        localparam T3=2;
    localparam V=2;
    localparam V=2;
    localparam B=4;
    localparam B=4;
    localparam LB=4;
        localparam LB=7;
    localparam Fpay=64;
        localparam Fpay=32;
    localparam ROUTE_NAME="XY";
    localparam ROUTE_NAME="XY";
    localparam PCK_TYPE="MULTI_FLIT";
    localparam PCK_TYPE="MULTI_FLIT";
    localparam MIN_PCK_SIZE=2;
    localparam MIN_PCK_SIZE=2;
    localparam BYTE_EN=0;
    localparam BYTE_EN=0;
    localparam CAST_TYPE="MULTICAST_PARTIAL";
        localparam CAST_TYPE="UNICAST";
    localparam MCAST_ENDP_LIST=9'hf;
        localparam MCAST_ENDP_LIST=32'hf;
    localparam SSA_EN="NO";
    localparam SSA_EN="NO";
    localparam SMART_MAX=0;
    localparam SMART_MAX=0;
    localparam CONGESTION_INDEX=3;
    localparam CONGESTION_INDEX=3;
    localparam ESCAP_VC_MASK=2'b01;
    localparam ESCAP_VC_MASK=2'b01;
    localparam VC_REALLOCATION_TYPE="NONATOMIC";
    localparam VC_REALLOCATION_TYPE="NONATOMIC";
    localparam COMBINATION_TYPE="COMB_NONSPEC";
    localparam COMBINATION_TYPE="COMB_NONSPEC";
    localparam MUX_TYPE="BINARY";
    localparam MUX_TYPE="BINARY";
    localparam C=0;
    localparam C=0;
    localparam DEBUG_EN=1;
        localparam DEBUG_EN=0;
    localparam ADD_PIPREG_AFTER_CROSSBAR=1'b0;
    localparam ADD_PIPREG_AFTER_CROSSBAR=1'b0;
    localparam FIRST_ARBITER_EXT_P_EN=1;
    localparam FIRST_ARBITER_EXT_P_EN=1;
    localparam SWA_ARBITER_TYPE="RRA";
    localparam SWA_ARBITER_TYPE="RRA";
    localparam WEIGHTw=4;
    localparam WEIGHTw=4;
    localparam SELF_LOOP_EN="NO";
    localparam SELF_LOOP_EN="NO";
Line 65... Line 65...
    localparam CLASS_SETTING={V{1'b1}};
    localparam CLASS_SETTING={V{1'b1}};
    localparam CVw=(C==0)? V : C * V;
    localparam CVw=(C==0)? V : C * V;
 
 
 
 
 
 
    //simulation parameter  
        //simulation localparam 
    //localparam MAX_RATIO = 1000;
    //localparam MAX_RATIO = 1000;
    localparam MAX_PCK_NUM = 1000000000;
    localparam MAX_PCK_NUM = 1000000000;
    localparam MAX_PCK_SIZ = 16383;
    localparam MAX_PCK_SIZ = 16383;
    localparam MAX_SIM_CLKs=  1000000000;
    localparam MAX_SIM_CLKs=  1000000000;
    localparam TIMSTMP_FIFO_NUM = 16;
    localparam TIMSTMP_FIFO_NUM = 16;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.