OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_noc/] [pronoc_pkg.sv] - Diff between revs 55 and 56

Show entire file | Details | Blame | View Log

Rev 55 Rev 56
Line 1... Line 1...
 
 
`include "pronoc_def.v"
`include "pronoc_def.v"
/****************************************************************************
/****************************************************************************
 * pronoc_pkg.sv
 * pronoc_pkg.sv
 ****************************************************************************/
 ****************************************************************************/
 
 
package pronoc_pkg;
`ifdef PRONOC_PKG
 
 
 
`ifdef IMPORT_PRONOC_PCK
 
package pronoc_pkg;
 
`endif
 
 
 
 
 
 
 
 
`define NOC_LOCAL_PARAM
`define NOC_LOCAL_PARAM
Line 278... Line 282...
 
 
/***********
/***********
 * simulation
 * simulation
 * **********/
 * **********/
 
 
        localparam DELAYw = EAw+2; //Injector start delay counter width
        localparam DELAYw = 9; //Injector start delay counter width. 10 to 500 clk cycles delay randomly selected
 
 
        typedef struct packed {
        typedef struct packed {
                integer   ip_num;
                integer   ip_num;
                bit send_enable;
                bit send_enable;
                integer  percentage; // x10
                integer  percentage; // x10
Line 293... Line 297...
                integer percentage;
                integer percentage;
        }rnd_discrete_t;
        }rnd_discrete_t;
 
 
        //packet injector interface
        //packet injector interface
        localparam PCK_INJ_Dw =64;//TODO to be defined by user
        localparam PCK_INJ_Dw =64;//TODO to be defined by user
        localparam PCK_SIZw= log2(MAX_PCK_SIZ);
        localparam PCK_SIZw= log2(MAX_PCK_SIZ+1);
 
 
 
 
 
 
        typedef struct packed {
        typedef struct packed {
                logic [PCK_INJ_Dw-1 : 0] data;
                logic [PCK_INJ_Dw-1 : 0] data;
Line 318... Line 322...
        bit flit_wr_i;
        bit flit_wr_i;
        bit pck_wr_i;
        bit pck_wr_i;
        bit flit_wr_o;
        bit flit_wr_o;
        bit pck_wr_o;
        bit pck_wr_o;
        bit flit_in_bypassed;
        bit flit_in_bypassed;
 
        bit active_high_reset; // if asserted means ProNoC is configured with active high reset
    } router_event_t;
    } router_event_t;
    localparam ROUTER_EVENT_w = $bits(router_event_t);
    localparam ROUTER_EVENT_w = $bits(router_event_t);
 
 
 
 
 
`ifdef IMPORT_PRONOC_PCK
endpackage : pronoc_pkg
endpackage : pronoc_pkg
 
`endif
 
 
 
`endif
`endif
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.