OpenCores
URL https://opencores.org/ocsvn/an-fpga-implementation-of-low-latency-noc-based-mpsoc/an-fpga-implementation-of-low-latency-noc-based-mpsoc/trunk

Subversion Repositories an-fpga-implementation-of-low-latency-noc-based-mpsoc

[/] [an-fpga-implementation-of-low-latency-noc-based-mpsoc/] [trunk/] [mpsoc/] [rtl/] [src_noc/] [wrra.v] - Diff between revs 54 and 56

Show entire file | Details | Blame | View Log

Rev 54 Rev 56
Line 735... Line 735...
*   weights_update
*   weights_update
*
*
***************/
***************/
 
 
module weights_update # (
module weights_update # (
 
    parameter NOC_ID=0,
    parameter ARBITER_TYPE="WRRA",
    parameter ARBITER_TYPE="WRRA",
    parameter V=4,
    parameter V=4,
    parameter P=5,
    parameter P=5,
    parameter Fw = 36,    //flit width;  
    parameter Fw = 36,    //flit width;  
    parameter WEIGHTw=4,
    parameter WEIGHTw=4,
Line 797... Line 798...
    generate
    generate
    //nonlocal port
    //nonlocal port
    for (i=1; i<P; i=i+1) begin : non_local_port
    for (i=1; i<P; i=i+1) begin : non_local_port
 
 
        weight_update_per_port #(
        weight_update_per_port #(
 
            .NOC_ID(NOC_ID),
            .V(V),
            .V(V),
            .C(C),
            .C(C),
            .P(P),
            .P(P),
            .Fw(Fw),
            .Fw(Fw),
            .EAw(EAw),
            .EAw(EAw),
Line 965... Line 967...
endmodule
endmodule
 
 
 
 
 
 
module weight_update_per_port # (
module weight_update_per_port # (
 
    parameter NOC_ID=0,
    parameter V=4,
    parameter V=4,
    parameter C=2,
    parameter C=2,
    parameter P=5,
    parameter P=5,
    parameter Fw =36,
    parameter Fw =36,
    parameter WEIGHTw=4,
    parameter WEIGHTw=4,
Line 985... Line 988...
    flit_out_wr,
    flit_out_wr,
    clk,
    clk,
    reset
    reset
);
);
 
 
 
 
 
 
    localparam
    localparam
        W=WEIGHTw;
        W=WEIGHTw,
 
        WEIGHT_LATCHED = 0;  //(WRRA_CONFIG_INDEX==0 || WRRA_CONFIG_INDEX==1 || WRRA_CONFIG_INDEX==2 || WRRA_CONFIG_INDEX==3 ); //1: no latched  0: latched
 
 
 
 
    localparam WEIGHT_LATCHED = 0;  //(WRRA_CONFIG_INDEX==0 || WRRA_CONFIG_INDEX==1 || WRRA_CONFIG_INDEX==2 || WRRA_CONFIG_INDEX==3 ); //1: no latched  0: latched
 
 
 
 
 
    input [W-1 : 0] contention_in;
    input [W-1 : 0] contention_in;
    input [Fw-1 :  0]  flit_in;
    input [Fw-1 :  0]  flit_in;
    output[Fw-1 :  0]  flit_out;
    output[Fw-1 :  0]  flit_out;
Line 1045... Line 1043...
    end
    end
    endgenerate
    endgenerate
 
 
    wire [Fw-1 : 0] hdr_flit_new;
    wire [Fw-1 : 0] hdr_flit_new;
 
 
    hdr_flit_weight_update updater
    hdr_flit_weight_update #(
    (
        .NOC_ID(NOC_ID)
 
    ) updater (
        .new_weight(contention),
        .new_weight(contention),
        .flit_in(flit_in),
        .flit_in(flit_in),
        .flit_out(hdr_flit_new)
        .flit_out(hdr_flit_new)
    );
    );
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.